. ディジタル回路設計を始める前に 2

Size: px
Start display at page:

Download ". ディジタル回路設計を始める前に 2"

Transcription

1 論理回路設計の基礎と演習 (PowerMedusa MU2-EC6S を使った教材 )

2 . ディジタル回路設計を始める前に 2

3 . ディジタルとは ひとことで言うなら アナログー連続的な動き ディジタルー断続的な動き 再現が難しい 再現しやすい 例.) アナログー坂道ディジタルー階段最初に居た位置に正確に戻るには階段のほうが再現しやすい! 3

4 .2 ディジタルにするメリット 再現性が高い ノイズに強い 4

5 .3 ディジタル回路を実現するには ディジタル回路 したがってコンピュータも ディジタルデータを処理します しかし電子回路は 電圧で動作しており 電圧そのものはアナログです そのアナログ電圧に 一定の基準電圧 ( スレッショホールド電圧 ) を設けて その基準電圧よりも電圧が高いか低いかで 2 進数の か かを判定するようにしたものが ディジタル回路です 5

6 .4 2 進数,8 進数, 進数,6 進数 コンピュータが 2 進数を使用しているのは ハードウェア すなわち電子回路が簡単になり 安くできるからです データ伝送も ハードウェア上は 2 進数をベースにしています 2 進数 8 進数 進数 6 進数 単位 b( ハ イナリ ) O( オクト ) d( テジマル ) h( ヘキサ ) 6

7 .4 2 進数,8 進数, 進数,6 進数 2 進数 8 進数 進数 6 進数対応表 進 2 進 8 進 6 進 進 2 進 8 進 6 進 A B C D E F 7

8 2. 論理回路の基礎 8

9 2. AND,OR,NOT 組み合わせ回路 同じ入力に対しては必ず同じ出力が 出る回路 基本的に時間の概念がない 基本論理素子 (AND,OR,NOT) で構成される 9

10 2. AND,OR,NOT AND ( かつ ) 論理式 :C=A&B 両方条件が整った時に成立 条件 A=,B= A=,B= A=,B= A=,B= 結果 C= C= C= C= A B C 論理記号

11 2. AND,OR,NOT 条件結果 OR ( または ) A=,B= C= 論理式 :C=A B A=,B= C= どちらかの条件が整った時に成立 A=,B= C= A=,B= C= A B C 論理記号

12 2. AND,OR,NOT NOT ( でない ) 論理式 :C=^A 条件 A= 結果 C= 結果を反転させる A= C= A C 論理記号 2

13 2. AND,OR,NOT 論理記号一覧表 記号 真理値表 veril og-hdl 記号真理値表 verilog-hdl NOT A X=A X A X L H H L X=~A OR A B X= A B X A B X L L L L H H H L H H H H X=A B X=~(~A&~B) AND A B X= A B X A B X L L L L H L H L L H H H X=A&B NOR X=~(~A ~B) A B X = A B X A B X L L H L H L H L L H H L X=~(A B) X=~A&~B NAND A B X= A B X A B X L L H L H H H L H H H L X=~(A&B) X=~A ~B *: 記号の 印は負論理 (L アクティブ ) を示す 3

14 2.2 組み合わせ回路 A 組み合わせ回路の例 ( デコーダ回路 ) B 2 3 A B 2 3 L L H L L L L H L H L L H L L L H L H H L L L H 4

15 2.2 組み合わせ回路 論理遅延 入力が変化してから出力に変化するまでの遅れの時間があること 論理ゲートを信号が通るのにかかる時間 のこと 5

16 練習 < 問題 > 次のような条件の回路図 / 真理値表を作成してみよう SW が の時 LED 点灯 LED2 消灯 SW が の時 LED 消灯 LED2 点灯 (LED は の時点灯 の時消灯とする ) 6

17 練習 解答例 SW LED SWLEDLED2 LED2 7

18 練習 2 < 問題 > 次のような条件の回路図 / 真理値表を作成してみよう SW が の時で A が の時 LED が点灯 SW が の時で B が の時 LED2 が点灯 (LED は の時点灯 の時消灯とする ) 8

19 9 練習 2 解答例 SW LED LED2 A B SW A B LED LED2

20 練習 3 < 問題 > 次のような条件の回路図 / 真理値表を作成してみよう SW が の時 A の状態により LED を点灯 / 消灯を行う SW が の時 B の状態により LED を点灯 / 消灯を行う 2

21 練習 3 ヒント真理値表 SW A B LED 2

22 練習 3 解答例 A B LED SW SW A B LED 22

23 2.3 順序回路 回路内に記憶素子を含んでいて クロックと呼ばれる基準信号と入力によって出力がきまる回路 クロックという時間の概念存在する 基本論理素子と記憶素子で構成されている 順序回路の例としては フリップフロップやカウンタなどがある 23

24 クロック ディジタル回路において順序回路を動作させるための基準信号のことであり 一定の周期でH=>L=> H を繰り返す信号 クロック信号 H H H H L L L L 周期 :T[sec] 周波数 :f[hz]=/t 24

25 フリップフロップ (FLIP FLOP) フリップフロップとは シーソーの働きの意味 回路の働きが文字通りシーソーの働きに似 ている 25

26 フリップフロップ (FLIP FLOP) 入力 D Q 出力 CLK クロック CLK RESET D Q リセット 入力 (D) の変化がクロック (CLK) の立ち上がり 又は立下りにより出力 (Q) に伝わる 通常 リセット (RESET) はクロック (CLK) とは非同期 リセット (RESET) は L 時リセット 26

27 カウンタの例 (2 ビットカウンタ ) カウンタではクロックの数を数える回路を構成することができる D Q Q RESET CLK Q RESET CLK CLK D Q CLK Q Q Q RESET RESET Q

28 3. デジタルが使われている機器 28

29 3.. デジタル時計の構成を考えよう 発振器 khz 回カウント 6 回カウント 6 回カウント 秒になる 分になる 時間になる 表示表示表示 発振器は 電圧を与えるとクロックを発生させる 発振周波数 ( 発生させるクロックの周波数 ) がkHZとした場合 秒間に クロックが繰り返される 29

30 3..2 デジタル時計にどのような回路が必要か 秒 分 時間のカウンタ回路が必要 秒のための 回カウント 分のための 6 回カウント 時間のための 6 回カウント 3

31 デジタル時計にどのような回路が必要か 2 液晶や LED などに表示するためのデコーダ回路が必要 ( 例 )7SEG LED に表示する場合 ( 点灯 = 消灯 =) a b c h g d f e 2 を表示 を表示 h g f e d c b a a b c h g d f e

32 デジタル時計にどのような回路が必要か 2 液晶や LED などに表示するためのデコーダ回路が必要 ( 例 )7SEG LED に表示する場合 ( 点灯 = 消灯 =) a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e

33 3.2 論理回路の基礎復習 33

34 4. 設計フロー 34

35 4. どのような設計手法があるか Verilog とは シミュレーション用の言語として生まれる その後 論理合成にも使えるようになる 995 年に IEEE 標準となる 現在 多くの CAD ツールがサポートしている C 言語に似た平易で表現力の高い記述言語 35

36 4. どのような回路設計があるか よく使う順序処理分 if 文 case 文 for 文 while 文 repeat 文 wait 文 disable 文 force 文 /release 文 36

37 4.. 回路図設計 HDL 設計のメリット / デメリット メリット設計の効率化 半導体ヘ ンタ にとらわれない ( ヘ ンダ フリー ) 設計が可能 論理合成による設計期間短縮 設計資産の活用検証精度の向上 設計の途中で検証できる 入力の印加 出力の観測 比較が容易 システム レヘ ルの検証が行える ( シミュレーション モテ ル ) 37

38 4.. 回路図設計 HDL 設計のメリット / デメリット テ メリット 現状の論理合成は単相同期回路向き ツール類が高価 ASIC では論理合成できない回路もある 38

39 4..2 HDL 設計 (VHDL Verilog) Verilog-HDL:Cadence 社の論理シミュレータ用言語から派生 VHDL: 回路仕様を書くことを目的に 標準化 Verilog-HDL: - 抽象度が低い - 回路的 - 電気系向き VHDL: - 抽象度が高い - プログラム的 - 情報系向き 39

40 4.2 設計手順 回路要求仕様 外部設計 外部仕様書 詳細設計 内部仕様書 ソースファイル (*.v *.vhd) テストベンチ (*.v *.vhd) ネットリスト (*.edif *.v *.vhd) コンフィギュレーションファイル (*sof *.pof) HDL 設計 ( デザインエントリー ) 機能検証 論理合成 配置配線 実機検証 Verilog-HDL/VHDL グラフィカルエントリー (VisualElite) 回路図入力等 ModelSim NC-Veril og 等 DesignCompiler Synplify 等 QuartusI ISEFoundation 等 4

41 4.3 Verilog 記述の規則 拡張子は *****.v とする 全角文字は使えない 大文字と小文字を識別する 識別子の名付け規則 英数字とアンダースコア (_) とドル記号 ($) が使用可能 2 文字列の先頭には英字とアンダースコア (_) のみ使用可能 3 予約語を識別子として使用することはできない 4 長さは 24 文字以内 ( 後段ツールの制約も考慮しなくてはならない ) コメント記述 行コメント : // から文末までをコメントとみなす複数行コメント : 複数にまたがるコメントは /* と */ で囲む 4

42 4.3 Verilog 記述の規則 Verilog のモジュール基本構造 モジュール宣言 Verilog では各宣言及び回路記述をキーワー ド module ~ endmodule で囲まれたモジュール内 に定義する ポート宣言ポート宣言に入力 (input) 出力 (outoput) 入出力 (inout) のいずれかのモードを指定する 同時に信号がベクタの場合は [msb:lsb] のようにベ クタ幅も宣言する データタイプ宣言 モジュール内部で用いる信号を ネット宣言 ( ネット型 ) レジスタ宣言 ( レジスタ型 ) で定義し同時に信号がベクタの場合は [msb:lsb] のようにベクタ幅も宣言する 回路記述 順序回路と組み合せ回路に分けて記述する module module_name (port_list) ポート宣言 データタイプ宣言 ( ネット / レジスタ宣言など ) 回路記述 assign 文 ( 組合せ回路の記述 ) always 文 ( 順序回路の記述 ) 下位モジュール呼び出しなど endmodule 42

43 5. Verilog による記述 module の構成要素 module module_name ( port_name, port_name2, ); < ポート宣言 > < レジスタ型宣言 > < ネット宣言 > < パラメータ宣言 > < イベント宣言 > < プリミティブ ゲート宣言 > < 下位モジュール呼び出し > <always 文 > <initial 文 > <function 定義 > <task 定義 > < 継続的代入 > endmodule 43

44 5.. Verilog による回路記述 回路図 回路記述の 4 スタイル 論理合成を前提とした場合 Verilog による回路記述の スタイルは 次の 4 種類がある assign による組み合せ回路 function による組み合せ回路 always による順序回路 下位モジュールの呼び出し 組み合せ回路をalwaysで記述することも可能だが 制約がある 出力をreg 宣言する always 以降 ( イベント式 ) にすべての入力を記述する always 文の中に動作 ( 出力に対する代入文 ) を記述する また 論理合成時に不必要なラッチを生成することがあったり 可読性が悪くなる 44

45 5... AND OR AND //AND module test(a,b,c); input a,b; output c; assign c = a & b; a b endmodule c OR a b //OR module test(a,b,c); input a,b; output c; assign c = a b; endmodule c 45

46 5...2 組合せ回路 組合せ回路 入力の変化が すぐに出力に伝搬する回路値を保持しない //Test 回路 module test(a,b,c,d,e,f); input A,B; output C,D,E,F; assign C = ~A & ~B; assign D = ~A & B; assign E = A & ~B; assign F = A & B; endmodule A B A B C D E F L L H L L L L H L H L L H L L L H L H H L L L H C D E F 46

47 5...3 順序回路 順序回路 フリッフプロッフ やラッチなどの記憶素子を含んだ回路値を保持する ( 例 )4 ビット バイナリ カウンタ バイナリ カウンタの仕様 入力 : クロック CLK リセット RESET 出力 :Q(4 ヒ ット ) 動作 : クロック CLK の立ち上がりでカウントアッフ リセット RESET が なら クロック CLK とは無関係 に ( 非同期に ) 出力 Q が となる CLK Q 4 RESET 47

48 5...3 カウンタ // 加算演算子による 4ヒ ット カウンタ module counter(clk,reset,q); input CLK,RESET; output [3:] Q; reg [3:] Q; CLK Q 4 RESET (posedge CLK or posedge RESET) begin if (RESET== b) Q <= 4 h; else Q <= Q + 4 h; end endmodule Posedge は立ち上がり (positive edge) の意味で CLK の立ち上がりまたは RESET の立ち上がりで 常に begin 以降が実行される 48

49 5.2 ツール (QuartusⅡ) の使い方.QuartusⅡ の起動.ppt ファイル参照 49

50 5.3 Verilog によるテストベンチ記述 //************************************* // CLK TestBench // File Name = tb_clk.v // Date 26/2/6 //************************************* `timescale ps / ps // // TASK module tb_clk; // initial begin parameter dly = 3; RESET= 'b; SW= 'b; reg RESET; repeat CLKM); reg CLKM; #(dly) RESET= 'b; reg SW; repeat CLKM); #(dly) SW= 'b; wire [7:] LED_H; repeat CLKM); // end // TEST PAT START // clka clka ( initial begin.sw(sw), CLKM= 'b;.reset(reset), forever begin.clk(clkm), #CLKM= ~CLKM;.LED_H(LED_H) #; ); end end endmodule 5

51 5.3. 記述方法 Modelsim 記述方法 (modelsim 記述方法.doc ファイル参照 ) 5

52 5.3.2 Modelsim の使い方 Modelsim SE 5.7g の使い方 (ModelSim.doc ファイル参照 ) 52

53 6. Verilog 回路設計復習 数値表現 < ヒ ット幅 > < 基数 > < 数値 > 進数で表す 基数に応じた定数の値を示す b,b:2 進数 o,o:8 進数 d,d: 進数 h,h:6 進数 53

54 6. Verilog 回路設計復習 演算子 + - * / % ~ & ^ ~ ^ & ~ & ~ ^ ~ ^ 算術演算 加算 プラス符号減算 マイナス符号乗算除算剰余 ビット演算 NOT AND OR EX-OR EX-NOR リダクション演算 AND NAND OR NOR EX-OR EX-NOR! && ==!= ===!== < <= > >= 論理演算 論理否定論理 AND 論理 OR 符号演算 等しい等しくない等しい (X,Z も比較 ) 等しくない (X Z も比較 ) 関係演算 小小または等しい大大または等しい << >>?: {} シフト演算 左シフト右シフト その他 条件演算連接演算 演算子優先順位! & ~& ~ ^~^+ - * / % + - << >> < <= > >= ==!= ===!== & ^~^ &&?: 最上位の優先順にある演算子は すべて単項演算子 ( 各項の頭につける演算子 ) である 高 低 54

55 6.2MU2-EC6S を使用した 課題演習 55

56 練習 4 問題 SW が の時 LEDA が点灯する 7SEG LED に表示する場合 ( 点灯 = 消灯 =) 56

57 練習 4 解答例 //LED module LED(SW,LEDA); input SW; output LEDA; SW LEDA assign LEDA=~SW; SW endmodule LED_A 57

58 練習 5 < 問題 > 次のような条件の回路図を作成してみよう SW が の時 LED 点灯 LED2 消灯 SW が の時 LED 消灯 LED2 点灯 (LED は の時点灯 の時消灯とする ) 58

59 練習 5 解答例 SW LED LED2 59

60 練習 5 設計した回路を QuartusⅡ でコンパイル シミュレーション MU2-EC6S で実機確認を行おう 実機動作では SW は SW_A LED は LED LED2 は LED7 に割り当てることとする ( ピンアサインは MU2-EC6S ピンアサイン表..xls 参照すること ) 練習 5 操作手順例 QuartusⅡ で回路設計参照 6

61 練習 5 MU2-EC6S で実機確認を行おう ( 注意 :MU2-EC6S のテンキーは負論理 ( 押された時が 押されていない時 )) LED (SW_A 押下時消灯 ) LED7 (SW_A 押下時点灯 ) SW_A 6

62 練習 6 問題 7SEGLED に学籍番号を点灯しよう! SW が の時 学籍番号が点灯するように Verilog HDL で設計しよう 62

63 63 練習 6 ヒント学籍番号 の場合 7SEGLED は下図のように表示 a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e a b c h g d f e LED_A LED_B LED_C LED_D LED_E LED_F LED_G LED_H 解答例 (gakuseki.v ファイル参照 ) SW は SW_A (2 番ピン ) に割り当てることとする

64 //7SEGLED module gakuseki(sw,led_a,led_b,led_c,led_d,led_e,led_f,led_g,led_h); input SW; output [7:] LED_A,LED_B,LED_C,LED_D,LED_E,LED_F,LED_G,LED_H; reg [7:] LED_A,LED_B,LED_C,LED_D,LED_E,LED_F,LED_G,LED_H; case(sw) SWを押す 'b:begin LED_A<=8'hFC; LED_B<=8'hFC; LED_C<=8'hFC; LED_D<=8'hFC; LED_A~Hまで学籍番号 を表示 LED_E<=8'hFC; LED_F<=8'hFC; LED_G<=8'hFC; LED_H<=8'hFC; end それ以外 default:begin LED_A<=8'h; LED_B<=8'h; LED_C<=8'h; LED_D<=8'h; LED_A~Hまで何も表示しない LED_E<=8'h; LED_F<=8'h; LED_G<=8'h; LED_H<=8'h; end endcase endmodule モシ ュール宣言 レシズタ宣言 64

65 練習 6 設計した Verilog HDL ソースを QuartusⅡ でコンパイル シミュレーション MU2-EC6S で実機確認を行おう 65

66 ファイルの新規作成 File New を選択します NEW は DeviseDesignFiles タブで Verilog HDL File を選択して OK 66

67 回路作成 67

68 ファイルの保存 以降は練習 5 操作手順例 QuartusⅡ で回路設計 P9~ を参照 68

69 7. MU2-EC6S を使用した 課題演習 2 69

70 7. 練習 7 問題 下記フリップフロップを Verilog HDL で記述し QuartusⅡ でコンパイル シミュレーション 実機確認を行おう a clk D CLK Q b RESET rst 7

71 実機動作では a は SW_A (2 番ピン ) b は LED(47 番ピン ) clk は OSC(28 番ピン ) rst は RESET(24 番ピン ) に割り当てることとする ( ピンアサインは MU2-EC6S ピンアサイン表..xls 参照すること ) 練習 7 Verilog HDL 解答例 コンパイル シミュレーションは 練習 5 操作手順例 QuartusⅡ で回路設計参照 を参照して行うこと 7

72 練習 7 MU2-EC6S で実機確認を行おう ( 注意 :MU2-EC6S のテンキーは負論理 ( 押された時が 押されていない時 )) SW_A を開放状態で CLK_SW を 回押すと LED 点灯 SW_A を押下状態で CLK_SW を 回押すと LED 消灯 することを確認する LED SW_A CLK_SW CK_DIV 設定を F とする (CLK_SW 押下毎に クロック発生する ) 72

73 7.2 練習 8 問題 スイッチを押して 秒後に学籍番号を点灯しよう! 尚 入力されるクロックは MHz とする (MU2-EC SW27 CK_DIV は 2 とする ) 73

74 練習 8 解答例 (count 解答例.doc ファイル参照 ) SW RESET CLK LED_A LED_B LED_C LED_D LED_E LED_F LED_G LED_H start SW を押した時だけ data を動かす信号 data 秒数えるための信号 start CLK RESET SW data XX X 2X 3X 4X 5X 6X 7X X8X 9 秒 74

75 7.2 練習 9 問題 下記回路を設計 コンパイルして実機確認を行おう RESET を押す 27SEGLED の H に を点灯 3SW を押す 47SEGLED の H の表示が 秒毎に と繰り返す尚 入力されるクロックは MHz とする (MU2-EC SW27 CK_DIV は 2 とする ) 75

76 練習 9 解答例 (clk-kaitourei.doc ファイル参照 ) SW RESET CLK sec_clk SW RESET CLK sec_cont RESET CLK LED_H 秒を数える sec_clk 信号を作るためのカウンタ回路 sec_clk 信号を数える sec_cont 信号を作るためのカウンタ回路 sec_cont 信号を LED_H に表示するためのテゴータ 回路 CLK RESET SW sec_clk sec_cont LED_H 秒 秒 秒 秒 秒 X X X X 2 X 8 X 9 X X X FC X 6 X DA X FE X E6 X FC 76

77 8. MU2-EC6S を使用した 課題演習 3 77

78 8. シフト回路を設計してみよう 78

79 8.. シフト回路仕様説明 機能概要 RESET を押す 27SEGLED の H に を表示する 3SW を押す 47SEGLED の表示を 秒毎に変える MU2-EC6S 7SEG A B C D E F G H 数字表示 LED FPGA PSW (8..2 図 参照 ) SW CLK 発振部 MHZ RST 回路部 79

80 8.. シフト回路仕様説明 2 トッフ モシ ュールフ ロック図 FPGA SW RESET CLK sec _clk sec _clk RESET CLK sec _count 4 sec _count st_h dec 8 8 LED_H LED_G mask_g 8 LED_F mask_f 8 LED_E RESET CLK mask_e mask_d mask_c LED_D LED_C LED_B mask_b 8 LED_A mask_a mask 8

81 8.. シフト回路仕様説明 3 仕様 ブロック名 sec_clk sec_count mask dec 概略仕様 秒数えるためのカウンタ sec_clkを数えるための回路表示が出るまでマスク信号発生回路 sec_countを表示するためのもの 8

82 8..2 Verilog による設計 問題 RESET を押す 27SEGLED の H に を表示する 3SW を押す 47SEGLED の表示を 秒毎に変える ( 図 参照 ) A 2 3 B C D E F G H 秒 ( 秒後 ) 秒 (2 秒後 ) 秒 (3 秒後 ) 秒 (4 秒後 ) 秒 (5 秒後 ) 秒 (6 秒後 ) 秒 (7 秒後 ) 秒 (8 秒後 ) 秒 (9 秒後 ) 秒 ( 秒後 ) 図 82

83 8..2 Verilog による設計解答例 (clkseg 解答例.doc ファイル参照 ) ヒント.sec_clk の入力と出力信号 CLK RESET SW count (sec_clk 内のカウンタ値 ) sec_clk X XX 2X 3X 4X 5X 6X 7X 8X 9X X X 2X 3X 4X 5X 6X 7X 8X 9X X X 2X 3X 4X 5X 6X 7X 8X 9X X X 2X 3X 4X 5X 6X 7X 8X 9X X X sec_count X X X 2 X 3 X 83

84 8..2 Verilog による設計 ヒント 2.sec_count の入力と出力の信号 st_h は ( 図 の 7 秒後の参照 ) 7SEGLED が全て表示されるまでをマスクする信号 st_h CLK ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ RESET sec_clk sec_count X X X 2 X 3 X 4 X 5 X 6 X 7 X 8 X 9 X X X 2 X 3 X 4 X 5 X 6 X 7 ヒント 3.mask の入力と出力の信号 mask は 秒ずつずれて数字を表示するための信号 sec_count mask_g mask_f mask_e mask_d 秒後 X X X 2 X 3 X 4 X 5 X 6 X 7 X 8 X 9 X X X 2 X 3 X 4 X 5 X 6 X 7 2 秒後 3 秒後 4 秒後 mask_c 5 秒後 mask_b 6 秒後 mask_a 7 秒後 84

85 8..2 Verilog による設計 ヒント 4.7SEGLED の入力と出力の信号 mask 信号を 7SEGLED に表示 ( は表示無し ) sec_clk LED_H LED_G LED_F LED_E LED_D LED_C LED_B LED_A X FC X 6 X DA X F2 X 66 X B6 X3E X E4 XFE X E6 X FC X 6 X DA X F2 X 66 X B6 X 3E XE4 X X X X FC X 6 X DA X F2 X 66 X B6 X3E X E4 XFE X E6 X FC X 6 X DA X F2 X 66 X B6 X 3E X X X X X X X X FC X 6 X DA X F2 X 66 X B6 X3E X E4 XFE X E6 X FC X 6 X DA X F2 X 66 X B6 X X X X X X X X X X X X FC X 6 X DA X F2 X 66 X B6 X3E X E4 XFE X E6 X FC X 6 X DA X F2 X 66 X X X X X X X X FC X 6 X DA X F2 X 66 X B6 X3E X E4 XFE X E6 X FC X 6 X DA X F2 X FC X 6 X DA X F2 X 66 X B6 X3E X E4 XFE X E6 X FC X 6 X DA X FC X 6 X DA X F2 X 66 X B6 X3E X E4 XFE X E6 X FC X 6 X FC X 6 X DA X F2 X 66 X B6 X3E X E4 XFE X E6 X FC 85

86 8..3 実機確認 86

87 9.MU2-EC6S を使用した 自由課題 87

88 参考 MU2-EC マニュアル添付 CLK24 BEEP 回路 88

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

電卓の設計 1

電卓の設計 1 電卓の設計 1 FPGA Express と MAXPLUS2 に よる FPGA 設計 FPGA EXPRESS RTL circuit.edf circuit.acf RTL MAXPLUS2 FPGA circuit.acf circuit.sof, ttf, pof SRAM 2 どうして電卓なの? その場で 10 キーを使って動かせる プロセッサだと プログラムを考えたり メモリとのインタフェースが必要

More information

FPGAによる24時間時計回路

FPGAによる24時間時計回路 の設計 通信処理ネットワーク研究室 10ec062 志村貴大 1. まえがき今回 24 時間時計回路の設計を行った理由は FPGA を用いた論理回路設計の基礎を学ぶにあたり ハード及びソフト双方の基本技術を一度に習得できる題材であると推測したためである 24 時間時計を構成するモジュールの設計を終えた今 その推測は正しかったものと自負している 本レポートは 復習を兼ねた制作記録としてだけではなく 自分と同じ回路設計初心者が学習の参考にできるものにしたいと考えている

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

HW-Slides-04.ppt

HW-Slides-04.ppt ハードウェア実験 組み込みシステム入門第 4 回 2012 年 10 月 11 日 IC TRAINER の導入 2 ブレッドボードとは何か! 手引き書 P8 半田付けせずに 簡単にリード線を差し込むだけで回路の動作を調べることができるボード! 部品挿入エリアでは ABCDE が縦に裏側で接続されている! 電源ラインでは 横に接続されている! 慣例として! 赤 : + 電源! 青 :- 電源または

More information

VLSI工学

VLSI工学 25/1/18 計算機論理設計 A.Matsuzawa 1 計算機論理設計 (A) (Computer Logic Design (A)) 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 3. フリップフロップ回路とその応用 25/1/18 計算機論理設計 A.Matsuzawa 2 25/1/18 計算機論理設計 A.Matsuzawa 3 注意 この教科書では記憶回路を全てフリップフロップと説明している

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10 2.5. Verilog 19 Z= X + Y - Z A+B LD 0 0001 0000 ADD 1 0110 0001 SUB 2 0111 0010 ST 2 1000 0010 (X

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx 2019 年 4 月 26 日ハードウエア設計論 :3 ハードウエアにおける設計表現 ハードウエア設計記述言語 VerilogHDL ~ 種々の記述 ~ ALU の実装とタイミングに関して always @(A or B or C) Ubuntu を起動し verilog が実行できる状態にしておいてください 79 演習 4: 簡単な演算器 1 入力 A:8 ビット 入力 B:8 ビット 出力 O:8

More information

Microsoft PowerPoint - 01-VerilogSetup-2018.pptx

Microsoft PowerPoint - 01-VerilogSetup-2018.pptx 2018 年 4 月 13 日ハードウエア設計論 :2 ハードウエアにおける設計表現 ハードウエア設計記述言語 VerilogHDL ~ 状態遷移と順序機械 ~ TA2 名 : 古賀 杉山が担当します Ubuntu を起動し verilog が実行できる状態にしておいてください http://www.mos.t.u-tokyo.ac.jp/~ikeda/hwdesign/ 38 VerilogHDL

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

情報工学実験 C コンパイラ第 2 回説明資料 (2017 年度 ) 担当 : 笹倉 佐藤

情報工学実験 C コンパイラ第 2 回説明資料 (2017 年度 ) 担当 : 笹倉 佐藤 情報工学実験 C コンパイラ第 2 回説明資料 (2017 年度 ) 担当 : 笹倉 佐藤 2017.12.7 前回の演習問題の解答例 1. 四則演算のできる計算機のプログラム ( 括弧も使える ) 2. 実数の扱える四則演算の計算機のプログラム ( 実数 も というより実数 が が正しかったです ) 3. 変数も扱える四則演算の計算機のプログラム ( 変数と実数が扱える ) 演習問題 1 で行うべきこと

More information

char int float double の変数型はそれぞれ 文字あるいは小さな整数 整数 実数 より精度の高い ( 数値のより大きい より小さい ) 実数 を扱う時に用いる 備考 : 基本型の説明に示した 浮動小数点 とは数値を指数表現で表す方法である 例えば は指数表現で 3 書く

char int float double の変数型はそれぞれ 文字あるいは小さな整数 整数 実数 より精度の高い ( 数値のより大きい より小さい ) 実数 を扱う時に用いる 備考 : 基本型の説明に示した 浮動小数点 とは数値を指数表現で表す方法である 例えば は指数表現で 3 書く 変数 入出力 演算子ここまでに C 言語プログラミングの様子を知ってもらうため printf 文 変数 scanf 文 if 文を使った簡単なプログラムを紹介した 今回は変数の詳細について習い それに併せて使い方が増える入出力処理の方法を習う また 演算子についての復習と供に新しい演算子を紹介する 変数の宣言プログラムでデータを取り扱う場合には対象となるデータを保存する必要がでてくる このデータを保存する場所のことを

More information

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt の期末試験 実施日 : 7/( 金 ) 限 @ 教室 ( 参照不可, 定規 OK) 成績評価 : 中間 5%, 期末 5%( 試験成績のみ ) ( 第 回 ) 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など 出題 : 前半 (~6 回 )/, 後半 (8 回 ~)/ 教科書 +(

More information

プログラミング入門1

プログラミング入門1 プログラミング入門 1 第 5 回 繰り返し (while ループ ) 授業開始前に ログオン後 不要なファイルを削除し て待機してください Java 1 第 5 回 2 参考書について 参考書は自分にあったものをぜひ手元において自習してください 授業の WEB 教材は勉強の入り口へみなさんを案内するのが目的でつくられている これで十分という訳ではない 第 1 回に紹介した本以外にも良書がたくさんある

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2018/10/05 竹島研究室創成課題 第 2 回 C 言語演習 変数と演算 東京工科大学 加納徹 前回の復習 Hello, world! と表示するプログラム 1 #include 2 3 int main(void) { 4 printf("hello, world! n"); 5 return 0; 6 } 2 プログラム実行の流れ 1. 作業ディレクトリへの移動 $ cd

More information

シフトレジスタ ステートマシン 4. シミュレーション記述 シミュレーションに必要な物 テストベンチ シミュレーション特有の記述 4.1 カウンターのシミュレーション テストする回路 テストベンチ シミュレーション結果 参考文献 以下の文献を参考にさせていただきました 小林優 入門 Verilog-

シフトレジスタ ステートマシン 4. シミュレーション記述 シミュレーションに必要な物 テストベンチ シミュレーション特有の記述 4.1 カウンターのシミュレーション テストする回路 テストベンチ シミュレーション結果 参考文献 以下の文献を参考にさせていただきました 小林優 入門 Verilog- Verilog-HDL 入門 2014 年 12 月 1 日修正 : 2010 年 8 月 3 日公開 内田智久 E-sys, IPNS, KEK はじめに 回路設計未経験者向けに必要最低限の Verilog-HDL 文法を解説した入門書です 専門家向けに書かれた市販書籍は情報が多すぎるため 回路設計初心者からみると最低限何をどのように使えば良いのか分かりません これは 対象読者が論理 回路設計経験

More information

<4D F736F F D20438CBE8CEA8D758DC F0939A82C282AB2E646F63>

<4D F736F F D20438CBE8CEA8D758DC F0939A82C282AB2E646F63> C 言語講座第 2 回 作成 : ハルト 前回の復習基本的に main () の中カッコの中にプログラムを書く また 変数 ( int, float ) はC 言語では main() の中カッコの先頭で宣言する 1 画面へ出力 printf() 2 キーボードから入力 scanf() printf / scanf で整数を表示 / 入力 %d 小数を表示 / 入力 %f 3 整数を扱う int 型を使う

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

Microsoft PowerPoint - CproNt02.ppt [互換モード]

Microsoft PowerPoint - CproNt02.ppt [互換モード] 第 2 章 C プログラムの書き方 CPro:02-01 概要 C プログラムの構成要素は関数 ( プログラム = 関数の集まり ) 関数は, ヘッダと本体からなる 使用する関数は, プログラムの先頭 ( 厳密には, 使用場所より前 ) で型宣言 ( プロトタイプ宣言 ) する 関数は仮引数を用いることができる ( なくてもよい ) 関数には戻り値がある ( なくてもよい void 型 ) コメント

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 4 回目演算子 今日の講義で学ぶ内容 演算子とオペランド 式 様々な演算子 代表的な演算子の使用例 演算子とオペランド 演算子 演算の種類です例えば + - * / 掛け算の記号は ではなく *( アスタリスク ) を使います割り算の記号は ではなく /( スラッシュ ) を使います オペランド 演算の対象です例えば 5( 値 ) num( 変数 ) 式 演算子とオペランドの組み合わせにより構成される数式です式は演算結果をもちます

More information

卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科

卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科 卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 2260050004-3 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科 内容概要本論文では LSI 設計の主流となっているハードウェア記述言語の Verilog-HDL を用いて CRC32 回路を設計することで Vreilog-HDL

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 03 変数と式 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 3.1 変数と型 変数とは p.60 C 言語のプログラム中で, 入力あるいは計算された数や文字を保持するには, 変数を使用する. 名前がついていて値を入れられる箱, というイメージ. 変数定義 : 変数は変数定義 ( 宣言 ) してからでないと使うことはできない. 代入 : 変数には値を代入できる.

More information

ポインタ変数

ポインタ変数 プログラミング及び実習 5 馬青 1 文字処理 数値処理 : 整数 浮動小数点数 単一の文字は と ( シングルクォーテーション ) で囲んで表現される 文字のデータ型は char または int である int を用いたほうが ライブラリの関数の引数の型と一致する 以下は全部 int の使用に統一する 従って int ch; で文字変数を宣言しておくと ch= A ; のように ch に文字 A

More information

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt ( 第 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など ( 論理回路 Ⅰ) の期末試験 実施日 : 8/5( 金 ) : @ 教室 ( 定規 OK, 参照ダメ ) 成績評価 : 中間 5%, 期末 5% ( 出席率 8% 以上の学生が評価対象

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 1 前回教育用の RISC POCO を導入しました 今日はその Verilog 記述を紹介します まず この復習をやっておきましょう 2 最も重要な点は メモリの読み書きで レジスタ間接指定の理解です これはポインタと一緒なので 間違えないように修得してください 3 RISC なので 基本の演算はレジスタ同士でしかできません MV はレジスタ間のデータ移動なので気をつけてください 4 イミーディエイト命令は

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

書式に示すように表示したい文字列をダブルクォーテーション (") の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf(" 情報処理基礎 "); printf("c 言語の練習 "); printf

書式に示すように表示したい文字列をダブルクォーテーション () の間に書けば良い ダブルクォーテーションで囲まれた文字列は 文字列リテラル と呼ばれる プログラム中では以下のように用いる プログラム例 1 printf( 情報処理基礎 ); printf(c 言語の練習 ); printf 情報処理基礎 C 言語についてプログラミング言語は 1950 年以前の機械語 アセンブリ言語 ( アセンブラ ) の開発を始めとして 現在までに非常に多くの言語が開発 発表された 情報処理基礎で習う C 言語は 1972 年にアメリカの AT&T ベル研究所でオペレーションシステムである UNIX を作成するために開発された C 言語は現在使われている多数のプログラミング言語に大きな影響を与えている

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 5 回目演算子の優先順位と変数の型変換 今日の講義で学ぶ内容 演算子の優先順位 優先順位の変更の方法 キャスト演算子と型変換 演算子の優先順位 演算子の優先順位 式を計算するときの演算の順序です例えば a=b*c+d; では乗算を先に計算するというルールです ( 主な演算子の優先順位 ) 演算子 名前 結合規則 ++ 後置インクリメント 左 -- 後置デクリメント 左!

More information

Microsoft PowerPoint - FPGA

Microsoft PowerPoint - FPGA PLD と FPGA VLD 講習会 京都大学小林和淑 1 PLD FPGA って何 PLD: Programmable Logic Device プログラム可能な論理素子 FPGA: Field Programmable Gate Array 野外でプログラム可能な門の隊列? Field: 設計現場 Gate Array: 論理ゲートをアレイ上に敷き詰めたLSI MPGA: Mask Programmable

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D>

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D> 第 4 回情報処理技術講義 コンピュータ計算の基本概念 ( 論理ハードウェア ) 60 これはなんだと思いますか? 携帯電話の開発ボードだそうです 61 ソフト開発をする人でも, ハードウェア知識は必要不可欠である コンピュータの最も基本的要素は論理電子回路であるその中でも以下の3 素子が基本となる (AN, ORは組合して作れる ) NOT NAN NOR 注意 :MOS トランジスタによる実現

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Microsoft PowerPoint - slide

Microsoft PowerPoint - slide ディジタル回路設計の基礎 京都大学情報学研究科小林和淑 kobayasi@i.kyoto-u.ac.jp 内容 単相クロック完全同期回路 構成要素 D フリップフロップ 同期回路の性能 ハードウエア設計手法 論理設計手法の歴史 ハードウエア記述言語 RTL 設計 LSI の設計フロー セルベース設計とゲートアレイ PLD と FPGA 2 単相クロック完全同期回路 同期回路とは? 時間方向を同期パルス

More information

演習1

演習1 神戸市立工業高等専門学校電気工学科 / 電子工学科専門科目 数値解析 2019.5.10 演習 1 山浦剛 (tyamaura@riken.jp) 講義資料ページ http://r-ccs-climate.riken.jp/members/yamaura/numerical_analysis.html Fortran とは? Fortran(= FORmula TRANslation ) は 1950

More information

Microsoft Word - VBA基礎(3).docx

Microsoft Word - VBA基礎(3).docx 上に中和滴定のフローチャートを示しました この中で溶液の色を判断する部分があります このような判断はプログラムではどのように行うのでしょうか 判断に使う命令は IF 文を使います IF は英語で もし何々なら という意味になります 条件判断条件判断には次の命令を使います If 条件式 1 Then ElseIf 条件式 2 Then ElseIf 条件式 3 Then 実行文群 1 実行文群 2 実行文群

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Microsoft Word - HW06K doc

Microsoft Word - HW06K doc 完了した CP:1~19( 合計 19 個 ) 未達成の CP:20 [ 要旨 目的 ] CPU の製作を行う CPU の製作を通じて ハードウェア設計の流れを理解する CPU の構造について 理解を深める CPU 製作第 3 回の実験では 最終的なCPUの完成を目指す [ 原理 理論 ] まずは CPU の構造設計から行う 全体の構成は次のようになる 下の図では モニター回路は含まれない chattering

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

スライド 1

スライド 1 FPGA/HDLを活用したソフトウェア並列処理の構築 goyoki @ 並列プログラミングカンファレンス 自己紹介 goyoki(hatena/twitter) 千里霧中 http://d.hatena.ne.jp/goyoki/ 組込みエンジニア Doxygen 日本語メンテナ 主にテスト関連コミュニティで情報発信 yomite.swtest xunit Test Patterns 読書会等 概要

More information

, FPGA Verilog-HDL

, FPGA Verilog-HDL Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2007 12 19-20 1 1 1.1...................................... 1 1.2,................................. 1 2 2 2.1 FPGA......................... 2 2.2 Verilog-HDL.............................

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2017 年 5 月 15 日 東邦大学金岡晃 前回の復習 (1) このプログラムを作成し実行してください 1 前回の復習 (2) このプログラムを作成し実行してください 2 前回の復習 (3) 3 前回の復習 演算子 代入演算子 インクリメント シフト演算子 型変換 4 場合に応じた処理 5 こういうプログラムを作りたい 5 教科のテスト

More information

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201 授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 54 10 36 16 16 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 2013/10/30 2 授業のあとで (#2) したがって 54 10 36 16 ここまでの復習 2/10/16

More information

Microsoft PowerPoint - C1(演算と変数).ppt

Microsoft PowerPoint - C1(演算と変数).ppt C 言語プログラミング 式の計算と変数 配列の概念 50 人の生徒の点数の平均点, 最高点 最低点を求めるプログラム ( センター入試 23 年度数学 2 情報関係基礎 第 3 問 ) (01) sowa 0, saiko 0, saitei 100 代入文 : 変数に値を代入 ( 格納 ) する (02) 配列 TNin のすべての要素を 0 にするための文 (03) bango を 1 から 50

More information

Microsoft PowerPoint - VBA解説1.ppt [互換モード]

Microsoft PowerPoint - VBA解説1.ppt [互換モード] 九州大学工学部地球環境工学科船舶海洋システム工学コース 計算工学演習第一 演習資料担当 : 木村 Excel 上のマクロを利用してプログラムを組む Visual Basic for Applications (VBA) のテクニック Excel のマクロとは? 一連の操作を自動的に行う機能 例 ) セル ( マス目 ) に数字を 1 から順番に埋めていく Excel のマクロでどんなプログラムが作れるのか?

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

Java講座

Java講座 ~ 第 1 回 ~ 情報科学部コンピュータ科学科 2 年竹中優 プログラムを書く上で Hello world 基礎事項 演算子 構文 2 コメントアウト (//, /* */, /** */) をしよう! インデントをしよう! 変数などにはわかりやすい名前をつけよう! 要するに 他人が見て理解しやすいコードを書こうということです 3 1. Eclipse を起動 2. ファイル 新規 javaプロジェクト

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 3 4 週 プログラミング言語の形式的な記述 2014 年 4 月 23 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

kantan_C_1_iro3.indd

kantan_C_1_iro3.indd 1 章 C# の学習を始める前に プログラムの 01 基本 Keyword プログラムプログラミング言語 プログラムとは プログラムとは コンピューターへの命令の集まりです 学校の先生が プリントを持ってきて と生徒に指示した場合を考えてみましょう 先生をプログラマー ( プログラムの作成者 ) 生徒をコンピューターとしたとき プリントを持ってきて という指示がプログラムです 人間とは違い コンピューターは曖昧な指示を理解できません

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

Microsoft PowerPoint - LogicCircuits01.pptx

Microsoft PowerPoint - LogicCircuits01.pptx 論理回路 第 回論理回路の数学的基本 - ブール代数 http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 本科目の内容 電子計算機 computer の構成 ソフトウェア 複数のプログラムの組み合わせ オペレーティングシステム アプリケーション等 ハードウェア 複数の回路 circuit の組み合わせ

More information

ポインタ変数

ポインタ変数 プログラミング及び実習 5 馬青 1 文字処理 数値処理 : 整数 浮動小数点数 単一の文字は と ( シングルクォーテーション ) で囲んで表現される 文字のデータ型は char または int である int を用いたほうが ライブラリの関数の引数の型と一致する 以下は全部 int の使用に統一する 従って int ch; で文字変数を宣言しておくと ch= A ; のように ch に文字 A

More information

スライド 1

スライド 1 順序回路 (2) 1 順序回路の設計 組合せ論理回路の設計法 構造や規則性に着目した手設計 ( 先人の知恵を使う ) 入力 出力の関係に基づく自動合成 ( カルノー図など ) 順序回路の設計法 構造や規則性に着目した手設計 ( 前回の各例 ) 入力 出力 状態の関係に基づく自動合成 2 同期式順序回路の入力 出力 状態の関係 x 1 x 2 組合せ回路 y 1 y 2 x n q 2 q p q 1

More information

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー 初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コード生成を利用したプログラム作成 ( ポート入力 ) P47 次回 ( 第 4 回 ) は, 以下の内容を予定しています

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

PowerPoint Presentation

PowerPoint Presentation プログラミング基礎 第 2 週 (4,5,6 回 ) 2011-10-07 出村公成 この資料の再配布を禁止します 予定 プログラミング入門 (45 分 ) 変数 入出力 分岐 演習 (90 分 ) タッチタイプ練習 統合開発環境 Codeblocksの使い方 教科書例題の打ち込みと実行 プログラミング入門 C 言語の簡単な例を体験 変数 入出力 分岐 プログラムの例リスト 2.1 改 #include

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン (2), コード生成 http://cis.k.hosei.ac.jp/~asasaki /lect/compiler/2007-1204.pdf ( 訂正版 ) 1 概要 仮想マシン 概要 ( 復習 ) 制御命令 出力命令 コード生成 式のコード生成 文 文の列のコード生成 記号表 2 演習で作るコンパイラの例 test.hcc Int main() { int i j; i = 3;

More information

フリップフロップ

フリップフロップ 第 3 章フリップ フロップ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2005/10/17 2006, Masaharu Imai 1 講義内容 フリップ フロップの基本原理 RS フリップ フロップ D ラッチ D フリップ フロップ JK フリップ フロップ T フリップ

More information

UNIX 初級講習会 (第一日目)

UNIX 初級講習会 (第一日目) 情報処理概論 工学部物質科学工学科応用化学コース機能物質化学クラス 第 3 回 2005 年 4 月 28 日 計算機に関する基礎知識 Fortranプログラムの基本構造 文字や数値を画面に表示する コンパイル時のエラーへの対処 ハードウェアとソフトウェア ハードウェア 計算, 記憶等を行う機械 ソフトウェア ハードウェアに対する命令 データ ソフトウェア ( 命令 ) がないとハードウェアは動かない

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 条件分岐 : if 文, if~else 文 条件分岐 条件分岐とは ある条件が成立したときとしないときで処理の内容を変更する場合に応じた, 複雑な処理を行うことができる 条件分岐 yes 成績が良かったか? no ご褒美に何か買ってもらう お小遣いが減らされる C 言語では,if 文,if~else 文,if~else if~else 文,switch 文で条件分岐の処理を実現できる

More information

Microsoft PowerPoint - 33.pptx

Microsoft PowerPoint - 33.pptx 3.3 Verilog-A による動作モデリ ング Verilog-A による動作記述例 アナログ動作記述 典型的記述例から アナログ言語記述の考え方を学ぼう ここでは 自分でバリバリ記述できるほど詳しい文法を学ぶ必要はない 与えられた記述が大まかに追えて パラメータが何を表しているか分かれば十分 ( 参考 URI) http://homepage3.nifty.com/hdl_design/ 2

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Microsoft Word - 論理回路10.doc

Microsoft Word - 論理回路10.doc 2. 論理設計 () 組合せ回路. 概説 表 SCII コードの例 アナログ装置では量や数を 電圧の大きさ や 針の振れる角度 などで表現している それに対して, 進 2 進 6 進 英数文字 コンピュータなどのディジタル装置では量や数を2 35 23 # 進数で符号化し, 表現している 我々人間は数を符 号化するために 2 9 という 通りの文字を用いているが, ディジタル装置の中では 47 48

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

JavaScriptプログラミング入門 2.JavaScriptの概要

JavaScriptプログラミング入門 2.JavaScriptの概要 JavaScript プログラミング入門 1.JavaScript の概要 08T4067L 横田翔 2-1 オブジェクトベース言語としての JavaScript 2-1-1 オブジェクト指向言語と オブジェクト指向言語 オブジェクトベース言語 対象となるオブジェクトがどのようなデータ 操作方法を持っているかというようにモデル化してプログラミングを行う オブジェクト指向の概念の中でも基本的なものだけを採用していて

More information

ex04_2012.ppt

ex04_2012.ppt 2012 年度計算機システム演習第 4 回 2012.05.07 第 2 回課題の補足 } TSUBAMEへのログイン } TSUBAMEは学内からのログインはパスワードで可能 } } } } しかし 演習室ではパスワードでログインできない設定 } 公開鍵認証でログイン 公開鍵, 秘密鍵の生成 } ターミナルを開く } $ ssh-keygen } Enter file in which to save

More information