untitled

Size: px
Start display at page:

Download "untitled"

Transcription

1 Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD

2 , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel; wire out, a, b, sel; assign out = sel? a : b; B) module MUX(out, a, b, sel); output out; input a, b, sel; wire out, a, b, sel; assign out = (a & sel ) (b & sel) C) AND,OR,NOT module MUX(out, a, b, sel); output out; input a, b, sel; wire out, a, b, sel; wire a1, bl, sel_ ; not i1(sel_, sel); and i2(a1, a, sel); and i3(b1, b, sel_); or i4(out, a1, b1); reg out; wire a, b, sel; a or b or sel ) if(sel = = 1 b1) out = a; else if ( sel = = 1 b0 ) out = b; else out = 1 bx; module MUX(out, a, b, sel); output out; input a, b, sel; reg out; wire a, b, sel; a or b or sel ) case( sel ) 1 b1: out = a; 1 b0: out = b; default: out = 1 bx;

3 module half_adder ( s, co, a, b ); input a, b; output s, co; OR module full_adder ( s, co, a, b, ci ); input a, b, ci; output s, co; wire w0, w1, w2; assign w0 = a & b, w1 = w0, w2 = a b, s = w1 & w2, co = w0; wire w0, w1, w2; assign co = w1 w2; half_adder i0 (.co(w1),.s(w0),.a(a),.b(b)); half_adder i1 (.co(w2),.s(s),.a(w0),.b(ci)); w2 half_adder i0 w1 half_adder i1 assign c0=w1 w2; OR

4 4 module adder4 ( s, co, a, b, ci ); input [3:0] a, b; input ci; output [3:0] s; output co; 4 module adder4 ( s, co, a, b, ci ); input [3:0] a, b; input ci; output [3:0] s; output co; wire w0, w1, w2; full_adder i0 (.co(w0),.s( s[0]),.a(a[0]),. b(b[0]),.ci(ci) ); full_adder i1 (.co(w1),.s( s[1]),.a(a[1]),. b(b[1]),.ci(w0) ); full_adder i2 (.co(w2),.s( s[2]),.a(a[2]),. b(b[2]),.ci(w1) ); full_adder i3 (.co(co),.s( s[3]),.a(a[3]),. b(b[3]),.ci(w2) ); a or b or ci ) begin { co, s } = a + b + ci;

5 Verilog HDL reg: always <=, =) always wire: assign assign a = b; c = a; c <= a <= b; c <= a; c a x, o, 1, z supply, strong, pull, large, weak, medium, small, highz parameter: timescale / timescale 1 ns / 1ns assign #10 x = a & b; 10

6 AND, NAND, OP, NOR, not n1( out_not, in0); and an2( out_and2, in0, in1); or or2( out_or2, in0, in1); nor nr2( out_nor2, in0, in1); xor xr2( out_xor2, in0, in1); and an3( out_and3, in0, in1, in2); xnor xn3( out_xor3, in0, in1, in2);

7 assign out_not = ~in0; assign out_and2 = in0 & in1; assign out_or2 = in0 in1; assign out_nand2 = ~( in0 & in1); assign out4 = ~in1& ( in1 in2); assign out_xnor3 = ~( in0 ^ in1 ^ in2); assign and_or_not = ~( ( in0 & in1 ) ( in2 & in3) ); assign

8 module mux( out, a, b, sel); input [ 7 : 0 ] a, b; input sel; output [ 7 : 0 ] out; [MSB : LSB]

9 initial : always: initial begin a = 1 b0; 0 #10 a = 1 b1; 10 1 #20 a = 1 b0; #30 a = 1 b1; D FF module dff (d, clk, rst, q, xq); input d, clk, rst; output q, xq; module MUX(out, a, b, sel); output out; input a, b, sel; reg out; wire a, b, sel; a or b or sel ) if(sel = = 1 b1) out = a; else if ( sel = = 1 b0 ) out = b; else out = 1 bx; always always clk rst posedge clk or negedge rst) begin if ( rst = = 1 b0 ) begin q <= 1 b0; xq <= 1 b1; else begin q <= d; xq <= d ;

10 : assign assign assign out = sel? a : b; if assign out = ( a & sel ) ( b & sel); always moduke dff ( q, qb, d, clk ); output q, qb; input d, clk; reg q, qb; pesedge clk ) begin # 5 q = d; #1 qb = d; q, qb d,~d reg a, b; posedge clk) begin a <= b; b <= a; a b a=b; b=a; a b b

11 A) `resetall `timescale 1ns/10ps module Blocking1(a, b, d, ck); input d, ck; output b, a; B) `resetall `timescale 1ns/10ps module Blocking1(a, b, d, ck); input d, ck; output b, a; reg b, a; posedge ck) begin b = d; a = b; reg b, a; posedge ck) begin b <= d; a <= b; a b

12 FF wire a, b, sel; reg out; module MUX(out, a, b, sel); output out; input a, b, sel; reg out; wire a, b, sel; a or b or sel ) if(sel = = 1 b1) out = a; else if ( sel = = 1 b0 ) out = b; else out = 1 bx; out FF reg always FF sel )

13 module example1 (... );... MUX m1 ( out1, in1, in2, select );... module example2 (... );... MUX m2 (.out(out1),.b(in2),.a(in1),.sel(select) );... MUX Mmodule MUX( out, a, b, sel);

14 1 2 module adder4sim(); reg [ 3 : 0 ] in1, in2; wire [ 3 : 0 ] rslt; wire cy; adder4 add4 ( in1, in2, rslt, cy );. module adder4sim(); reg [ 3 : 0 ] in1, in2; wire [ 3 : 0 ] rslt; wire cy; adder4 add4 (.out_data1(rslt),.cy(cy),.in_data1( in1 ),. in_data2( in2) );. module adder4 ( in_data1, in_data2, out_data, cy); input [ 3 : 0 ] in_data1, in_data2; output [ 3 : 0 ] out_data; output cy;.. module adder4 ( in_data1, in_data2, out_data, cy); input [ 3 : 0 ] in_data1, in_data2; output [ 3 : 0 ] out_data; output cy;..

15 always timescale 1 ns / 1 ns module mux ( x, a, b, s ); input a, b, s; output x; reg w1, x; reg tmp; a or b or s ) begin if ( s = = 1 b1 ) tmp = a; else tmp = b; w1 <= tmp; always FF begin x <= w1;

16 always FF) module dff (d, clk, rst, q, xq); input d, clk, rst; output q, xq; reg q, xq; posedge clk or negedge rst) begin if ( rst = = 1 b0 ) begin q <= 1 b0; xq <= 1 b1; else begin q <= d; xq <= d ; A) FF q xq B) FF) module dff (d, clk, rst, q, xq); input d, clk, rst; output q, xq; reg q; posedge clk or negedge rst) begin if ( rst = = 1 b0 ) begin q <= 1 b0; else begin q <= d; assign xq = ~q; <= always q xq assign q

17 always `resetall `timescale 1ns/10ps module mux1( x, a, s); input a, s; output x; always FF `resetall `timescale 1ns/10ps module mux1( x, a, s); input a, s; output x; reg tmp; a or s) begin if( s == 1'b1 ) tmp <= a; assign x = ~tmp; s reg tmp; a or s) begin if( s == 1'b1 ) tmp <= a; else tmp <= 1'b0; assign x = ~tmp;

18 if.. else.. if ( cond1 = = 1 b1) x <= a; else if ( cond2 = = 1 b1 ) x <= b; else x <= c; case case ( sel ) 2 b00: x <= a; 2 b01: x <= b; 2 b10: x <= c; 2 b11: x <= d; default: x <= 1 bx; case C case for for ( i = 0; i<= 7; i = i + 1 ) x[i] <= y[7 i ]; x[0] <= y[7 ]; x[1] <= y[6 ]; x[2] <= y[5 ]; x[3] <= y[4 ]; x[4] <= y[3 ]; x[5] <= y[2 ]; x[6] <= y[1 ]; x[7] <= y[0 ]; while i = 1; while (i <= 7 ) begin x[ i ] <= y[ 7- i ]; i = i + 1; for i i=i+1 i integer

19 for `resetall `timescale 1ns/10ps module Latch8( y, x, ck, set); input [7:0] y; output [7:0] x; input ck, set; integer i; reg [7:0] x; posedge ck ) begin if ( set) begin for ( i=0; i<=7; i=i+1) x[i] <= y[7-i]; for while i for

20 forever i = 0; forever begin: forever_block x[ i ] <= y[ 7 i]; i = i + 1; if ( i = = 8 ) disable forever_block; $stop; $finish; #100; 100 wait ( c = = 1 b1 a or b or c ); a, b, c

21 function [ 7 : 0 ] sign_ext; input [ 3 : 0 ] a; if ( a[ 3 ] ) sing_ext = {4 b1111, a }; else sing_ext = {4 b0000, a }; function C input x <= sign_ext( a ); sign_ext x task sign_ext; input [ 3 : 0 ] a; output [ 7 : 0 ] x; if ( a[ 3 ] ) x= {4 b1111, a }; else x= {4 b0000, a }; task sign_ext( a, x ); x

22 module register( wr, we, data_in, data_out); parameter DATA_WIDTH = 8; input wr, we; input [DATA_WIDTH 1 : 0 ] data_in; output [DATA_WIDTH 1 : 0 ] data_out; reg [DATA_WIDTH 1 : 0 ] data_out; posedge wr ) begin if ( we ) begin data_out <= data_in; module paramtop( regsel, wr, we, data_in, data_out); input [ 2 : 0 ] regsel; input wr, we; input [ 31 : 0] data_in; output [ 31 : 0 ] data_out; wire [ 7 : 0 ] reg8out; wire [ 15 : 0 ] reg16out; wire [ 31 : 0 ] reg32out; assign data_out = ( regsel = = 1 )? { 24 h000000, reg8out} : 32 hzzzzzzzz; assign data_out = ( regsel = = 2 )? { 16 h0000, reg16out} : 32 hzzzzzzzz; assign data_out = ( regsel = = 4 )? { reg8out} : 32 hzzzzzzzz; register #( 8) reg8 ( wr, we, data_in[ 7 : 0 ], reg8out); register #( 16) reg16 ( wr, we, data_in[ 15 : 0 ], reg16out); register #( 32) reg32 ( wr, we, data_in[ 31 : 0 ], reg32out);

23 module add_const( a, q); input [3:0] a; output [3:0] q; parameter [3:0] const = 5; assign q = a + const;

24 tmescale 1 ns / i ns module dec ( a, x ); input [2:0] a; output [ 7 : 0 ] x; reg [ 7 : 0 ] x; begin case (a) 3 b000 : x <= 8 b ; 3 b001 : x <= 8 b ; 3 b010 : x <= 8 b ; 3 b011 : x <= 8 b ; 3 b100 : x <= 8 b ; 3 b101 : x <= 8 b ; 3 b110 : x <= 8 b ; 3 b111 : x <= 8 b ; default : x <= 8 bxxxxxxxx; case default FF

25 tmescale 1 ns / i ns module dec ( a, x ); input [2:0] a; output [ 7 : 0 ] x; reg [ 7 : 0 ] x; case begin case (a) 3 b000 : x <= 8 b ; 3 b001 : x <= 8 b ; 3 b010 : x <= 8 b ; 3 b011 : x <= 8 b ; 3 b100 : x <= 8 b ; 3 b101 : x <= 8 b ; 3 b110 : x <= 8 b ; // 3 b111 : x <= 8 b ; // default : x <= 8 bxxxxxxxx; case

26 timescale 1 ns / 1ns module enc ( a, x ); input [ 7: 0 ] a; output [ 3: 0 ] x; reg [ 3: 0 ] x; begin casex (a) 8 b???????1: x <= 4 b1000; 8 b??????10: x <= 4 b1001; 8 b?????100: x <= 4 b1010; 8 b????1000: x <= 4 b1011; 8 b???10000: x <= 4 b1100; 8 b??100000: x <= 4 b1101; 8 b? : x <= 4 b1110; 8 b : x <= 4 b1111; defalut : x <= 4b 0000; case casex case?

27 timescale 1 ns / 1 ns module mux ( a, b, s, x ); input [ 7 : 0 ] a, b; input s; output [ 7 : 0 ] x; reg [ 7 : 0 ] x; a or b or s ) begin if ( s = = 1 b1) x <= a; else x<= b; always if

28 3in 8bit `resetall `timescale 1ns/10ps module mux8(a, b, c, s, x); input [7:0] a, b, c; input [1:0] s; output x; reg [7:0] x; or b or c or s) begin case (s) 2'b00: x <= a; 2'b01: x <= b; 2'b10: x <= c; default: x<= 8'hff; case always case

29 timescale 1 ns / 1 ns module comp ( a, b, gt, lt, eq ); input [ 7: 0 ] a, b; output gt, lt, eq; reg gt, lt, eq; a or b ) begin if( a > b ) begin gt <= 1 b1; lt <= 1 b0; eq <= 1 b0; else if ( a < b) begin gt <= 1 b0; lt <= 1 b1; eq <= 1 b0; else begin gt <= 1 b0; lt <= 1 b0; eq <= 1 b1;

30 8 timescale 1 ns / 1 ns module add ( a, b, ci, co, x ); input [7 : 0] a, b; input ci; output [ 7: 0 ] x; output co; reg [ 7 : 0 ] x; reg co; a or b or ci ) { co, x } <= a + b + ci; ; {co, x} 1 a,b,ci

31 ALU timescale 1 ns / 1 ns accum or data or opcode) begin define AND 3 b000 case ( opcode ) define OR 3 b001 AND : alu_out <= accum & data; define NOT 3 b010 OR : alu_out <= accum data; define XOR 3 b011 NOT : alu_out <= accum; define ADD 3 b100 XOR : alu_out <= accum ^ data; define SUB 3 b101 ADD : alu_out <= accum + data; define ACC 3 b110 SUB : alu_out <= accum - data; define DAT 3 b111 ACC : alu_out <= accum; DAT : alu_out <= data; module alu ( alu_out, accum, data, opcode); default : alu_out <= 8b xxxxxxxx; input [ 7 : 0 ] accum, data; case input [ 2 : 0 ] opcode; output [ 7 : 0 ] alu_out: reg [ 7 : 0 ] alu_out: accum data (`define) case opcode ALU alu_out

32 ALU

33 timescale 1 ns / 1ns module sync_reg8 ( d, clk, rst, q ); input [ 7 : 0 ] d; input clk, rst; output [ 7 : 0 ] q; reg [ 7 : 0 ] q; posedge clk ) begin if ( rst = = 1 b0) begin q <= 8 b ; else begin q <= d; posedge

34 timescale 1 ns / 1ns module async_reg8 ( d, clk, rst, q ); input [ 7 : 0 ] d; input clk, rst; output [ 7 : 0 ] q; reg [ 7 : 0 ] q; posedge clk or negedge rst ) begin if ( rst = = 1 b0) begin q <= 8 b ; else begin q <= d; always negedge

35 8 timescale 1 ns / 1ns module count8_ld ( d, ci, clk, rst, ld, co, q ); input [ 7 : 0 ] d; input ci, clk, rst, ld; output co; output [ 7 : 0 ] q; reg [ 7 : 0 ] q; posedge clk ) begin if ( rst = = 1 b0) begin q <= 8 b ; else if ( ld = = 1 b1 ) begin q <= d; else begin q <= q+ ci; assign co =&{ q, ci}; &{q,ci};

36 KHz

37 7 LED 16 BCD-7 a a,b,c,d,e,f b,c,f,g a,b,c,d,e,f,g f e g b c d b,c a,c,d,f,g a,b,c,d,f,g 2 a,b,d,e,g a,b,c,d,g a,c,d,e,f a,b,c

38 6 4 / 6 clk 6 2 veriloghdl CPLD 2 start stop reset 2 set( 0 5 FF

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10 2.5. Verilog 19 Z= X + Y - Z A+B LD 0 0001 0000 ADD 1 0110 0001 SUB 2 0111 0010 ST 2 1000 0010 (X

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Microsoft Word - HW06K doc

Microsoft Word - HW06K doc 完了した CP:1~19( 合計 19 個 ) 未達成の CP:20 [ 要旨 目的 ] CPU の製作を行う CPU の製作を通じて ハードウェア設計の流れを理解する CPU の構造について 理解を深める CPU 製作第 3 回の実験では 最終的なCPUの完成を目指す [ 原理 理論 ] まずは CPU の構造設計から行う 全体の構成は次のようになる 下の図では モニター回路は含まれない chattering

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx 2019 年 4 月 26 日ハードウエア設計論 :3 ハードウエアにおける設計表現 ハードウエア設計記述言語 VerilogHDL ~ 種々の記述 ~ ALU の実装とタイミングに関して always @(A or B or C) Ubuntu を起動し verilog が実行できる状態にしておいてください 79 演習 4: 簡単な演算器 1 入力 A:8 ビット 入力 B:8 ビット 出力 O:8

More information

LSI LSI

LSI LSI EDA EDA Electric Design Automation LSI LSI FPGA Field Programmable Gate Array 2 1 1 2 3 4 Verilog HDL FPGA 1 2 2 2 5 Verilog HDL EDA 2 10 BCD: Binary Coded Decimal 3 1 BCD 2 2 1 1 LSI 2 Verilog HDL 3 EDA

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

Microsoft PowerPoint - 01-VerilogSetup-2018.pptx

Microsoft PowerPoint - 01-VerilogSetup-2018.pptx 2018 年 4 月 13 日ハードウエア設計論 :2 ハードウエアにおける設計表現 ハードウエア設計記述言語 VerilogHDL ~ 状態遷移と順序機械 ~ TA2 名 : 古賀 杉山が担当します Ubuntu を起動し verilog が実行できる状態にしておいてください http://www.mos.t.u-tokyo.ac.jp/~ikeda/hwdesign/ 38 VerilogHDL

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

, FPGA Verilog-HDL

, FPGA Verilog-HDL Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2007 12 19-20 1 1 1.1...................................... 1 1.2,................................. 1 2 2 2.1 FPGA......................... 2 2.2 Verilog-HDL.............................

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

電卓の設計 1

電卓の設計 1 電卓の設計 1 FPGA Express と MAXPLUS2 に よる FPGA 設計 FPGA EXPRESS RTL circuit.edf circuit.acf RTL MAXPLUS2 FPGA circuit.acf circuit.sof, ttf, pof SRAM 2 どうして電卓なの? その場で 10 キーを使って動かせる プロセッサだと プログラムを考えたり メモリとのインタフェースが必要

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 POCO の 1 サイクルマイクロアーキテクチャ POCO は 作りながら学ぶコンピュータアーキテクチャ ( 倍風館 ) で使っている教育用の 16 ビット RISC である www.am.ics.keio.ac.jp/parthenon/pocobook/ も参照のこと POCO の構成 1 + + ext func[2:0] 2:0 THB ADD 00 01 10 comsel com S A

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx 2019 年 6 月 14 日ハードウエア設計論 :9 ハードウエアにおける設計表現 ハードウエア設計記述言語 VerilogHDL ~CPU: ハード & ソフト ~ Ubuntu を起動し verilog が実行できる状態にしておいてください 129 6/7, 6/14 の出欠は 本日正午 +δ までに WEB から課題 7-4 を提出する cpu.v と simcpu2.v 以下の部分を切り出して

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1 1 8 Z80 Z80 20 8080 GBA ASIC 2 WINDOWS C 1 2.1 Z-80 A 0 - A 15 CPU Z80 D 0- D 7 I/O Z80 1: 1 (1) CPU CPU Z80 CPU Z80 AND,OR,NOT, (2) CPU (3) I/O () Z80 (4) 2 Z80 I/O 16 16 A 0, A 1,, A 15 (5) Z80I/O 8

More information

Microsoft PowerPoint - arch5kai.ppt [互換モード]

Microsoft PowerPoint - arch5kai.ppt [互換モード] コンピュータアーキテクチャ 第 5 回 割 り 込 み その2 天 野 hunga@am.ics.keio.ac.jp ac 割 り 込 み(Interrupt) I/O 側 からCPUに 対 して 割 り 込 みを 要 求 CPUはこれを 受 け 付 けると 自 動 的 にPCを 割 り 込 み 処 理 ルーチンの 先 頭 に 変 更 戻 り 番 地 はどこかに 保 存 割 り 込 み 処 理 ルーチンを

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

Microsoft PowerPoint - FPGA

Microsoft PowerPoint - FPGA PLD と FPGA VLD 講習会 京都大学小林和淑 1 PLD FPGA って何 PLD: Programmable Logic Device プログラム可能な論理素子 FPGA: Field Programmable Gate Array 野外でプログラム可能な門の隊列? Field: 設計現場 Gate Array: 論理ゲートをアレイ上に敷き詰めたLSI MPGA: Mask Programmable

More information

- - http://168iroha.net 018 10 14 i 1 1 1.1.................................................... 1 1.................................................... 7.1................................................

More information

NAND FF,,

NAND FF,, 1. 1.1. NAND FF,, 1.2. 2. 1 3. アドレス ( 番地 ) 0 99 1 3 2 4 3 20 4 2 5 20 4. 8bit(0255) 7(3+4) 16 8 命令表 (0~255) コード内容 ( 機械語 ) ( 次の番地の内容 )+( 次の次の番地の内 99 容 ) の結果を次の次の次に書いてある番地に格納 2STOP A0A7, A8A15 D0D7 2 4.2.

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

シフトレジスタ ステートマシン 4. シミュレーション記述 シミュレーションに必要な物 テストベンチ シミュレーション特有の記述 4.1 カウンターのシミュレーション テストする回路 テストベンチ シミュレーション結果 参考文献 以下の文献を参考にさせていただきました 小林優 入門 Verilog-

シフトレジスタ ステートマシン 4. シミュレーション記述 シミュレーションに必要な物 テストベンチ シミュレーション特有の記述 4.1 カウンターのシミュレーション テストする回路 テストベンチ シミュレーション結果 参考文献 以下の文献を参考にさせていただきました 小林優 入門 Verilog- Verilog-HDL 入門 2014 年 12 月 1 日修正 : 2010 年 8 月 3 日公開 内田智久 E-sys, IPNS, KEK はじめに 回路設計未経験者向けに必要最低限の Verilog-HDL 文法を解説した入門書です 専門家向けに書かれた市販書籍は情報が多すぎるため 回路設計初心者からみると最低限何をどのように使えば良いのか分かりません これは 対象読者が論理 回路設計経験

More information

ディジタルシステム設計

ディジタルシステム設計 Z80 Z80 Z80 Z80 ROM RAM I/O 8255 8251 Z80PIO Z80CTC Z80SIO R C L Tr OP TTL MCB Z MC Z Z80 Z80 TMPZ84015BF KL5C8012 64180 H8 H8 PIC Microchip Technology PIC Z80 F A A' ALU B D H C E L IX IY SP PC C E L

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

HyRAL®FPGA設計仕様書

HyRAL®FPGA設計仕様書 HyRAL Encryption FPGA HyRAL FPGA 2009/12/ 13 2 2010/01/11 3. FPGA 3.1. Const1, 2,3 3.3.ciphergen 3.3.6. 3.4. Decrypt 4 3 2010/01/26 1. i 1.... 1 2.... 1 2.1. FPGA... 1 2.2.... 1 2.3.... 1 2.4. IP... 1

More information

スライド 1

スライド 1 FPGA/HDLを活用したソフトウェア並列処理の構築 goyoki @ 並列プログラミングカンファレンス 自己紹介 goyoki(hatena/twitter) 千里霧中 http://d.hatena.ne.jp/goyoki/ 組込みエンジニア Doxygen 日本語メンテナ 主にテスト関連コミュニティで情報発信 yomite.swtest xunit Test Patterns 読書会等 概要

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

2014.3.10 @stu.hirosaki-u.ac.jp 1 1 1.1 2 3 ( 1) x ( ) 0 1 ( 2)NOT 0 NOT 1 1 NOT 0 ( 3)AND 1 AND 1 3 AND 0 ( 4)OR 0 OR 0 3 OR 1 0 1 x NOT x x AND x x OR x + 1 1 0 x x 1 x 0 x 0 x 1 1.2 n ( ) 1 ( ) n x

More information

卒業研究報告.PDF

卒業研究報告.PDF 3 2 9 . 2. MOS 2. MOS 2 3. 3. 3.2 3.3 3.4 3.5 3.5. 3.5.2 2 3.5.3 LED 3.6 3.7 3.8 3.9 i 4. 8bitCPU 4. 4.2 4.2. 4.2.2 2 3 4 4.2.3 4.2.4 4.2.5 4.2.6 4.2.7 4.2.8 4.2.9 4.3 HDL 4.3. ALU 2 ALU 3 4 5 6 7 8 4.3.2

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T341 コンピュータ論理設計 Computer Logic Design 10. シングルサイクルプロセッサのデータパス Datapath for Single Cycle Processor 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

III

III III http://www.manabino-academ.com . = k...................................... = k p + q................................. = a + b c + d.................................. 4.4..........................................

More information

9BBH3A8_P0000

9BBH3A8_P0000 02 Yamaha CSR Report 2007 03 Yamaha CSR Report 2007 04 Yamaha CSR Report 2007 Yamaha CSR Report 2007 05 06 Yamaha CSR Report 2007 Yamaha CSR Report 2007 07 08 Yamaha CSR Report 2007 09 Yamaha CSR Report

More information

卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科

卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科 卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 2260050004-3 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科 内容概要本論文では LSI 設計の主流となっているハードウェア記述言語の Verilog-HDL を用いて CRC32 回路を設計することで Vreilog-HDL

More information

2002.N.x.h.L.......g9/20

2002.N.x.h.L.......g9/20 1 2 3 4 5 6 1 2 3 4 5 8 9 1 11 11 12 13 k 14 l 16 m 17 n 18 o 19 k 2 l 2 m 21 n 21 o 22 p 23 q 23 r 24 24 25 26 27 28 k 28 l 29 m 29 3 31 34 42 44 1, 8, 6, 4, 2, 1,2 1, 8 6 4 2 1, 8, 6, 4, 2, 1,2 1, 8

More information

FPGAによる24時間時計回路

FPGAによる24時間時計回路 の設計 通信処理ネットワーク研究室 10ec062 志村貴大 1. まえがき今回 24 時間時計回路の設計を行った理由は FPGA を用いた論理回路設計の基礎を学ぶにあたり ハード及びソフト双方の基本技術を一度に習得できる題材であると推測したためである 24 時間時計を構成するモジュールの設計を終えた今 その推測は正しかったものと自負している 本レポートは 復習を兼ねた制作記録としてだけではなく 自分と同じ回路設計初心者が学習の参考にできるものにしたいと考えている

More information

AVIC-HRV22

AVIC-HRV22 AVIC-HRV22 153-8654 1-4-1 2006 < KSKZF > < 06H00000 > < CRA3945-B > q w e r 2 3 4 5 6 7 z x c v b 1 n 0., m 3 2 8 z x c v b n m,. 0 1 2 3 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 1 2 25 26 3 27 1

More information

SystemC 2.0を用いた簡易CPUバスモデルの設計

SystemC 2.0を用いた簡易CPUバスモデルの設計 SystemC 2.0 CPU CPU CTD&SW CT-PF 2002/1/23 1 CPU BCA UTF GenericCPU IO (sc_main) 2002/1/23 2 CPU CPU CQ 1997 11 Page 207 4 Perl Verilog-HDL CPU / Verilog-HDL SystemC 2.0 (asm) ROM (test.hex) 2002/1/23

More information

推奨されるHDLコーディング構文

推奨されるHDLコーディング構文 6. HDL QII51007-6.0.0 HDL HDL HDL HDL HDL Quartus II Volume 1 Design Recommendations for Altera Devices Quartus II EDA HDL Quartus II Volume 1 Altera Corporation 6 1 Quartus II Volume 1 LPM DSP LVDS PLL

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

MAX1213N EV.J

MAX1213N EV.J 19-0610; Rev 0; 7/06 DESIGNATION QTY DESCRIPTION C1 C9, C13, C15, C16, C18, C19, C20, C35 C39, C49, C52 22 C10, C27, C28, C40 4 C11, C30 2 C12, C17, C58 C71 0 C14, C33 2 C21 C24 4 C25, C26, C51, C53, C54,

More information

SystemC言語概論

SystemC言語概論 SystemC CPU S/W 2004/01/29 4 SystemC 1 SystemC 2.0.1 CPU S/W 3 ISS SystemC Co-Simulation 2004/01/29 4 SystemC 2 ISS SystemC Co-Simulation GenericCPU_Base ( ) GenericCPU_ISS GenericCPU_Prog GenericCPU_CoSim

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

LSI LSI 2

LSI LSI 2 LSI LSI 2 P=CV 2 F 3 4 5 EDA Electric Design Automation) LSI CAD Computer Aided Design) Verilog Verify Logic VHDL VHSIC Description Language) SystemC C SFL Structured Functional description Language) NTT

More information

Microsoft PowerPoint - vlsi4.ppt [互換モード]

Microsoft PowerPoint - vlsi4.ppt [互換モード] (4) 工学部講義 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻 東京大学工学部電子情報工学科 / 電気工学科 はじめに CPU の設計 (3) はじめに 本講義の目的 の基本を学ぶ : 機能 VLSI 対象者 : 工学部 4 年生以上 担当者 坂井修一 プロセッサ VLSI 池田誠 アルゴリズム VLSI 時間 場所 水曜日 8:30-10:15 工学部 2 号館 243 前提となる知識

More information

Microsoft Word - ②(添付資料)家庭の夏期節電実態調査の結果について

Microsoft Word - ②(添付資料)家庭の夏期節電実態調査の結果について CO 2 1. 2012 CO 2 CO 2 CO 2 2. 2012 9 28 10 1 8,241 CO 2 3. (1) 2012 2.7%CO 2 1% 1 2 5.8% 3.7% (2.8%)(2.8%) 6 4 5% 1 3 7 5%3 (2) 2012 32%2011 21 (39%)(38%)(37%) 8 2011 (+17.9 ) (+11.9 )(+9.3 )2012 8 (-14.9

More information

Microsoft PowerPoint - arc7

Microsoft PowerPoint - arc7 工学部講義 (7) 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに 基本 CPU の設計 はじめに 本講義の目的 の基本を学ぶ 時間 場所 火曜日 8:40-10:10 工学部 2 号館 241 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/

More information

1 (1) vs. (2) (2) (a)(c) (a) (b) (c) 31 2 (a) (b) (c) LENCHAR

1 (1) vs. (2) (2) (a)(c) (a) (b) (c) 31 2 (a) (b) (c) LENCHAR () 601 1 () 265 OK 36.11.16 20 604 266 601 30.4.5 (1) 91621 3037 (2) 20-12.2 20-13 (3) ex. 2540-64 - LENCHAR 1 (1) vs. (2) (2) 605 50.2.13 41.4.27 10 10 40.3.17 (a)(c) 2 1 10 (a) (b) (c) 31 2 (a) (b) (c)

More information

FAX780CL_chap-first.fm

FAX780CL_chap-first.fm FAX-780CL ABCDEFGHIα 01041115:10 :01 FAX-780CL α 1 1 2 3 1 2 f k b a FAX-780CL α n p q 09,. v m t w FAX-780CL A BC B C D E F G H I c i c s s i 0 9 V X Q ( < N > O P Z R Q: W Y M S T U V i c i k

More information

FAX780TA_chap-first.fm

FAX780TA_chap-first.fm FAX-780TA ABCDEFGHIα 01041115:10 :01 FAX-780CL α 1 1 2 3 1 2 f k b a FAX-780TA α n p q 09,. v m t w FAX-780TA A BC B C D E F G H I c i c s s i 0 9 i c i k o o o t c 0 9 - = C t C B t - = 1 2 3

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

140 120 100 80 60 40 20 0 115 107 102 99 95 97 95 97 98 100 64 72 37 60 50 53 50 36 32 18 H18 H19 H20 H21 H22 H23 H24 H25 H26 H27 1 100 () 80 60 40 20 0 1 19 16 10 11 6 8 9 5 10 35 76 83 73 68 46 44 H11

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

Microsoft PowerPoint - Chap3

Microsoft PowerPoint - Chap3 ディジタル設計 (A1) (Chap. 3) @C105 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/digital2011/index.html 情報システム学科次世代コンピューティング研究室山下茂 ger@cs.ritsumei.ac.jp 0 目次 1. Verilog HDLの概要 2. ゲートレベルのモデリング 3. データフローモデリング

More information

取扱説明書 [F-02F]

取扱説明書 [F-02F] F-02F 4. 2 3 4 5 6 7 8 9 0 2 3 4 5 6 7 8 a b c d a b c d a b cd 9 e a b c d e 20 2 22 ab a b 23 a b 24 c d e 25 26 o a b c p q r s t u v w d h i j k l e f g d m n a b c d e f g h i j k l m n x 27 o

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

. (.8.). t + t m ü(t + t) + c u(t + t) + k u(t + t) = f(t + t) () m ü f. () c u k u t + t u Taylor t 3 u(t + t) = u(t) + t! u(t) + ( t)! = u(t) + t u(

. (.8.). t + t m ü(t + t) + c u(t + t) + k u(t + t) = f(t + t) () m ü f. () c u k u t + t u Taylor t 3 u(t + t) = u(t) + t! u(t) + ( t)! = u(t) + t u( 3 8. (.8.)............................................................................................3.............................................4 Nermark β..........................................

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 5 3. 4. 5. A0 (1) A, B A B f K K A ϕ 1, ϕ 2 f ϕ 1 = f ϕ 2 ϕ 1 = ϕ 2 (2) N A 1, A 2, A 3,... N A n X N n X N, A n N n=1 1 A1 d (d 2) A (, k A k = O), A O. f

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

Microsoft PowerPoint - Chap3 [Compatibility Mode]

Microsoft PowerPoint - Chap3 [Compatibility Mode] ディジタル設計 (A1) (Chap. 3) @F301 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/digital2012/index.html 情報システム学科次世代コンピューティング研究室山下茂 ger@cs.ritsumei.ac.jp 0 目次 1. Verilog HDLの概要 2. ゲートレベルのモデリング 3. データフローモデリング

More information

Microsoft PowerPoint - slide

Microsoft PowerPoint - slide ディジタル回路設計の基礎 京都大学情報学研究科小林和淑 kobayasi@i.kyoto-u.ac.jp 内容 単相クロック完全同期回路 構成要素 D フリップフロップ 同期回路の性能 ハードウエア設計手法 論理設計手法の歴史 ハードウエア記述言語 RTL 設計 LSI の設計フロー セルベース設計とゲートアレイ PLD と FPGA 2 単相クロック完全同期回路 同期回路とは? 時間方向を同期パルス

More information

Microsoft PowerPoint - 回路デジタル

Microsoft PowerPoint - 回路デジタル 平成 27 年 9 月 日版 集積電子回路設計 Part 3: デジタル回路 千葉大学工学部電気電子工学科 橋本研也 論理回路 Y=AB=A+B Y=A+B=AB Y Y A B Y Y=A+B=A+B A B Y A B Y A B Y A B A B Y A B Y A B Y A B デジタル素子もアナログ素子 過渡応答による波形の乱れ しきい値 パルスの遅延 負荷を多くすると パルス遅延の増加

More information

合併後の交付税について

合併後の交付税について (1) (2) 1 0.9 0.7 0.5 0.3 0.1 2 3 (1) (a), 4 (b) (a), (c) (a) 0.9 0.7 0.5 0.3 0.1 (b) (d),(e) (f) (g) (h) (a) (i) (g) (h) (j) (i) 5 (2) 6 (3) (A) (B) (A)+(B) n 1,000 1,000 2,000 n+1 970 970 1,940 3.0%

More information

フリップフロップ

フリップフロップ 第 3 章フリップ フロップ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2005/10/17 2006, Masaharu Imai 1 講義内容 フリップ フロップの基本原理 RS フリップ フロップ D ラッチ D フリップ フロップ JK フリップ フロップ T フリップ

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

コンピュータ概論

コンピュータ概論 4.1 For Check Point 1. For 2. 4.1.1 For (For) For = To Step (Next) 4.1.1 Next 4.1.1 4.1.2 1 i 10 For Next Cells(i,1) Cells(1, 1) Cells(2, 1) Cells(10, 1) 4.1.2 50 1. 2 1 10 3. 0 360 10 sin() 4.1.2 For

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 1. 1.1. 1.2. 1 PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 2. 2.1. 2 1 2 C a b N: PC BC c 3C ac b 3 4 a F7 b Y c 6 5 a ctrl+f5) 4 2.2. main 2.3. main 2.4. 3 4 5 6 7 printf printf

More information

Bluespec SystemVerilogによるIP流通と効果的なRTLのデバッグ

Bluespec SystemVerilogによるIP流通と効果的なRTLのデバッグ Technical Overview Bluespec SystemVerilog による IP 流通と効果的な RTL のデバッグ 他人の書いた RTL を正確に理解し 把握することは簡単なことではありません 他人の書いた RTL に変更を加えてエラーなくインプリメントすることはさらに困難です これを成功させるためには 設計者は RTL コード全体の設計スタイルに慣れ コードの詳細を把握し アーキテクチャとマイクロアーキテクチャを完全に完全に理解する必要があります

More information