AMR日本語版書式

Size: px
Start display at page:

Download "AMR日本語版書式"

Transcription

1 ISSN ISSN ( ) UMC LSI SoC Solution Foundry 90nm 65nm 100nm UMC TSMC UMC SoC SoC 90nm 200mm 300mm 300mm UMC UMC UMC UMC SoC GBRC GBRC 2006 Global Business Research Center 67

2 UMC UMC RF Radio Frequency UMC 8C 8D 8E 8F 12A UMCJ UMCi 2 8A 8B 0.25μm 0.35μm 12A UMCi 300mm 12A , ,000 90nm nm mm 36 UMCi , μm 90nm nm 90nm nm SP Standard Process LL Low Leakage Process L90G 3 90nm 65nm 2005 L80G 80nm 65nm L90G Shrink L80G 2. SoC RF 4 UMC 90nm Low-K FSG 2 A B C 3 L90G 4 RF m RF 68

3 nm UMC 90nm TI 90nm DSP 100nm SoC IR Drop Noise Margin Cross-Talk DFT Design for Testability DFM Design for Manufacturing EDA Electronic Design Automation IP IP Time-to-Market IP IDM Integrated Device Manufacturer IP IP 3G Reciever GPS RF Baseband Processor Audio CODEC DSP Processor SRAM DRAM Flash Memory 3G IP 3D Graphics FM MP3 DAB GPS EOTD MPEG4 JPEG Speech to Text Voice Recognition GSM GPRS EDGE WCDMA/CDMA2000/TDS-CDMA RISC DSP Protocol Stack System BIST WiFi Bluetooth UMC IP SoC 4. SoC UMC 1 UMC SoC High Speed Low Leakage Standard Performance Low Power /RF CMOS Zero Vt Low Vt I/O e-memories embedded Memories Libraries Standard Cell Libraries Standard I/O 69

4 SoC Platform Technology Logic Transistors High Speed Low Leakage Standard Performance Low Power Mixed Signal/RF CMOS Zero Vt,, Low Vt,, 3.3V/2.5V I/O Spiral Cu Inductors Metal-I-Metal Capacitors Poly Resistors Varactor e-memories e-sram e-flash 1T-SRAM, 1T-Q Q SRAM Libraries STD Cells, STD I/O Memory Compilers MM/RF Design Kits SOC Platform Sub-100 nm Cu Technology EDA Tools Technology Files: DRC, LVS, RC Extraction Gold IP Program IP Master Silicon Shuttle = Technology Features = Design Support Features 2 UMC IP Offering by Application Memory MM CPU/DSP Peripheral Application Specific Communication 1T-SRAM 1,3 efuse 1,3 esram 1 ADC/DAC AFE ARM 1,3 MIPS 1,3 MPU/DSP 1,3 Teak SSTL 3, LVDS 4 S-ATA 4, USB 1,3 PCI-Exp 4 Serdes 4 1G PHY/MAC 2 Bluetooth 4 QPSK Computing esram 1 ADC/DAC 3 ARM 1,3 MIPS 1,3 MPU/DSP 1,3 PCI-X/Exp 4 USB 1,3 1G PHY/MAC 2 Bluetooth 4 MPEG2/ , DMA IrDA Consumer 1T-SRAM 1,3 esram 4 ADC/DAC 3 eflash 1 ARM 1,3 MIPS 1,3 MPU/DSP 1,3 Teaklite 3 HSTL 4, USB 1,3 Smartcard DVI 4, LVDS 4 MPEG2/4 4 RSDS 4 AC3/4, QPSK UART 4, SPI4 4 QAM, TMDS IrDA 1: 0.13um 2: 0.15um 3: 0.18um 4: planned All trademarks owned by each individual vendor Memory Compilers MM/RF Design Kit Gold IP Program Silicon Shuttle EDA tools DRC RC Extraction technology files UMC IP UMC Silicon Shuttle Program IP UMC IP pool UMC IP Gold IP Program Bronze IP Silver IP Gold IP Bronze IP IP Soft Core UMC DRC Design Rule Check IP GDS Silver IP IP Gold IP IP 70

5 Off-the-Shelf Process Optimized Library Portfolio Technology Node Library G/SP 90nm LL 130nm HS/LL/ HS Fusion/SP LP 150nm SP ASIC 180nm GII LL Standard Cell Artisan I/O Artisan Single Port SRAM Compiler Dual Port SRAM Compiler Single Port Register File Dual Port Register File ROM Compiler PLL Note: Available or in development. Please check with an UMC representative for detailed status information 4 UMC Design Reference Flow I/O & Memory Simulation View Timing View Standard Cell Simulation View GDS View Power view Noise View Extraction Tech DRC/LVS Rule Deck Product Definition/Spec & Tech-dependent Setting RTL & Simulation Functional Verification Synthesis Physical Synthesis Static Timing Analysis Gate-level & Simulation Floorplan & Partition Block & Top Implementation Physical Verification UMC provides design methodology and flows. Collaboration with major EDA vendors for design flow development. Released Mixed Signal, Timing Closure and Low Power flows in 180/130nm process nodes. Tape-out IP 2 UMC IP Memory MM CPU/DSP Peripheral Application Specific Consumer Computing Communication IP 5 3 UMC Library Portfolio technology node Library 5 IP IP 71

6 UMC IP Cell Libraries 4 UMC Design Reference Flow EDA Cadence MAGMA Mentor Graphics Synopsys IP Bumping 6 UMC ChipPAC Amkor STATS UMC Web Based Solution UMC myumc UMC Virtual Fab UMC Real Fab 5. 5 Silicon Shuttle IP UMCJ 0.18 m 1P6M 6 Silicon Shuttle SRAM 90% TEG H90% 6 UMC UMCJ 0.13 m HDTV UMC IP DSP IP IP UMC IP UMC IP IP IP DAC ADC DDR-IF Analog SW UMC Gold IP Program 6 PCB PCB 1024Pin Flip Chip ASE SIP System in Packaging 72

7 UMC Silicon Shuttle IP Verification I PE1 I PE2 I PE3 I PE4 A Real Life Example: I PE5 TEG 100% N MTP TEG 90% 1T SRAM a 1T SRAM c SRAM 100% SRAM SWL 100% F GII 100% SRAM 90% F GII 90% 1T SRAM b Shuttle Passengers: I: MTP TEG1-5 N: MTP TEG H: 66XXXN 90% UMC: 1T-SRAM x 3 F: GII (100%/90%) UMCJ: SRAM etc. x um 1P6M H 90% 6 Working Model for SoC Solution An Example: 0.13 um HDTV UMC Manufacturing Customer DAC ADC DDR-IF PLL Analog SW etc. Customer s IP IP Partners Ultra926 project 7 UMC Ultra926 project UMC Multiple Transistors Vth Devices Models ARM IP Power Management Algorithms National Semiconductor IP Artisan Multi-Vth Multiple Supply Multiple Voltage (MSMV) Special Cells Libraries Synopsys Power Optimization Tool 73

8 ULTRA926 Project Collaboration System solution for power management IP, Power Management Algorithms IP Collaboration amongst industry leaders on low-power initiatives Libraries - Multi-Vth, Multiple Supply Multiple Voltage (MSMV), special cells Multiple Transistors with Vth Devices, models Power Optimization tool, Adaptive Voltage Scaling (AVS) Methodology Note: Trademarks & logos are owned by each individual company Adaptive Voltage Scaling (AVS) Methodology 6. UMC R&D 8 ITRS nm nm 193nm 90nm 65nm R&D 8 Continuous Efforts in R&D ITRS Lithography Roadmap CD (nm) Immersion?? DRAM half pitch ASIC/MPU half pitch ASIC physical gate length MPU physical gate length 157 EPL & EUV Year 74

9 nm 1P10M Cross-section nm FinFET Device Structure Poly Poly Si Fin Si Fin (a) Single Fin FET (b) Multiple Fin FET 1.E-02 1.E-03 Vd=-1.0V Vd=1.0V 82.9 nm 11.4 nm 8.6 nm Drive Current, Id (A/um) 1.E-04 1.E-05 Vd=-0.1V 1.E-06 1.E-07 1.E-08 PMOS: 1.E-09 Id,sat = 560 ua/um Swing = 79 mv/dec 1.E-10 DIBL = 101 mv/v 1.E-11 Vd=0.1V Lg=30 nm NMOS: Id,sat = 692 ua/um Swing = 86 mv/dec DIBL = 122 mv/v BOX 1.E Gate Voltage, Vg-Vt (V) UMC 90nm->65nm->45nm Gate Dielectric: Ultra Thin Gate/High-K Material S/D Engineering: Raised S/D Device Structure: SOI/Strain Silicon/Fin FET Interconnect: Low-K Dielectric Material UMC 9 65nm 1P10M interconnect 2 interconnect 75

10 UMC 45nm Poly 7 UMC System Architecture Knowledge IP EDA 300mm UMC Pure Play Foundry IDM IP EDA Reference Design DFM 200mm 0.18 m 0.13 m 300mm 90nm 65nm 45nm UMC 300mm 100nm SoC Summary: Foundry s Solution for SoC Design 0.13um, 90nm Mixed Signal /RF E-Memories System Architecture Knowledge IP and Design Methodology SoC Process Platform World Class Manufacturing Test and Packaging Solutions 7 76

11 赤門マネジメント レビュー編集委員会編集長新宅純二郎編集委員阿部誠粕谷誠片平秀貴高橋伸夫藤本隆宏 編集担当西田麻希 赤門マネジメント レビュー 5 巻 2 号 2006 年 2 月 25 日発行編集東京大学大学院経済学研究科 ABAS/AMR 編集委員会発行特定非営利活動法人グローバルビジネスリサーチセンター理事長高橋伸夫東京都文京区本郷

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

VoIP Broadcasting System 2/2 IP Convergence Communication Solution IP paradigm Integration & Management VoIP IP VoIP VoIP IT < 02-06-12>

VoIP Broadcasting System 2/2 IP Convergence Communication Solution IP paradigm Integration & Management VoIP IP VoIP VoIP IT < 02-06-12> 2003. 5. 22 AddPac Technology Proprietary VoIP Broadcasting System 2/2 IP Convergence Communication Solution IP paradigm Integration & Management VoIP IP VoIP VoIP IT < 02-06-12> 8Khz 16Khz CDMA ADSL VoIP

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

2

2 www.infineon.com/ 2 3 4 5 Cordless Phone WDCT PA RF - base station Step 7 UART 8/16-bit µc bus EBU MIPS - 4kec 175 MHz Network Processor VINETIC-CL SDR controller ADM3120 10 / 100 Auto MDIX PHY Flash WAN

More information

Taro12-イノベ-ション経営研究会

Taro12-イノベ-ション経営研究会 1 3 4 25. 31 46 54 63 63 71 79 90 BP 101 112 126 135 10 1990 21 1970 80 Made In America 80 90 1987 52 93 98-1 - (1) (2) (3) (4) - 2 - - 3 - ( 1980 1990 1990 10 21 PHP 1998. - 4 - 80 1976~1980 1987 52 1988

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

untitled

untitled Rev.2.70 1 1. 2. 3. 4. 5. Rev.2.70 2 ASIP PHY MIPI-DPHY / MPHY 1.8/3.3V PLL IP SER/DES 8B10B/10B/8B MIPI-DPHY SER/DES FPD-link SER/DES SMIA SER/DES DDR SER/DES DS Link CSI2 / Link ISP/WDR 10/12 ADC IP

More information

日立評論2008年1月号 : 基盤技術製品

日立評論2008年1月号 : 基盤技術製品 Infrastructure Technology / Products HIGHLIGHTS 2008 HDD 2.5 HDD3.5 HDD 1 Deskstar 7K1000 HDD Hard Disk Drive 2006 5 PC 2.5 HDD HDD 3.5 HDD1 1 2007 3Deskstar 7K1000 3.5 HDD 1149 Deskstar 7K500 2 GMR Giant

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

YMCA OUTLINE 1 2

YMCA OUTLINE 1 2 - - wada@ie.u-ryukyu.ac.jp http://bw-www.ie.u-ryukyu.ac.jp/~wada http://www.magnadesignnet.com LSI SRAM 3 Pentium Cache 3 LSI H/W Cache LSI YMCA OUTLINE 1 2 2002 718 LSI 13 12 12 12 21 14 Organization

More information

No Slide Title

No Slide Title Mentor Graphics High Speed Board 2002 January 2002 Electronic Design and Solution Fair Agenda AutoActive / DRC Interconnectix / IBIS SPICE AutoActive + Interconnectix + EMI Sigrity SPEED2000 ( 2 AutoActive

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2 Introduction TEG 2005.10.4 @KEK 1 1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2005.10.4 @KEK 2 SOI 2005.10.4 @KEK 3 SOI : Smart Cut (UNIBOND) by SOITEC Low-R Hi-R 2005.10.4

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

エミフィルによるノイズ対策 アプリケーション編

エミフィルによるノイズ対策 アプリケーション編 .pdf Noise Suppression by EMIFIL Application Guide Application Manual Cat.No.C35-2 .pdf .pdf .pdf 2 .pdf CD-ROM Power Supply CPU Gate Array RAM ROM Driver Driver Driver USB Chip Set Mouse Keyboard Display

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

DV-RA1000HD_Jpn(b)

DV-RA1000HD_Jpn(b) » D00927901A DV-RA1000HD High Definition Audio Master Recorder á á á è ì í ì ì í í ó è í è í í 2 TASCAM DV-RA1000HD ì ó ó ó ó á í ó ì ì í í ì ó í TASCAM DV-RA1000HD 3 4 TASCAM DV-RA1000HD TASCAM DV-RA1000HD

More information

橡松下発表資料.PDF

橡松下発表資料.PDF ... TV TV MPEG2 1394 JAVA HTML BML LSI Bluetooth 802.11 Linux PLC Internet ITRON 1. 2. TV -1-2 -3 3. 1. 2. TV -1-2 -3 3. 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 96/9 PerfecTV 98/4 SkyPerfecTV

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

MAX11014 EV.J

MAX11014 EV.J 19-4147; Rev 1; 9/08 PART MAX11014EVKIT+ TYPE EV Kit DESIGNATION QTY DESCRIPTION C1, C8, C11 C14, C18, C22, C23, C34 C43, C45, C51 C2, C7, C15, C16, C17, C21, C26, C27, C31, C33, C44, C46, C47, C48, C52,

More information

untitled

untitled LVDS 1 ( LVDS) / 50% 2 ( LVDS) / 50% 3 USB2.0 480Mbps Serial ATA Gen1 1.5Gbps PCI Express Gen1 2.5Gbps 4 Host Data Device Clock 5 Data Skew Host Data Device Clock Setup Hold Data Skew 6 Host Data Device

More information

0810_UIT250_soto

0810_UIT250_soto UIT UNIMETER SERIES 250 201 Accumulated UV Meter Digital UV Intensity Meter Research & Development CD Medical Biotech Sterilization Exposure Bonding Manufacturing Curing Production Electronic Components

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

ISSN 1347-4448 ISSN 1348-5504 10 1 2011 1 2010 5 12 1 E-mail: nawa@iisec.ac.jp 1 1 2010 5 12 GBRC GBRC 2011 Global Business Research Center www.gbrc.jp 53 2010 5 12 2 2000 1 1983 10 20 1 2001 2002 2004

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

LM7171 高速、高出力電流、電圧帰還型オペアンプ

LM7171 高速、高出力電流、電圧帰還型オペアンプ Very High Speed, High Output Current, Voltage Feedback Amplifier Literature Number: JAJS842 2 1 6.5mA 4100V/ s 200MHz HDSL 100mA 15V S/N ADC/DAC SFDR THD 5V VIP III (Vertically integrated PNP) 19850223

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

プロダクトガイド・表1

プロダクトガイド・表1 ystem S o l u t i o n s Storage Solutions HP Solutions e-business Solutions Mechanical Computer-Aided Design Authoring Software to Create Better Product Documentation Contents Secure File Transfer Solution

More information

H1-4_0918

H1-4_0918 Dell Wyse 20189 212-8589 580 20F E jp_wyse@dell.com - VDI Dell Technologies Wyse Thin 10 Wyse Management Suite 22.4 % 1 Microsoft Skype For Business W Wyse 3040 Wyse Technology2012 30 DellVDI IDC, Worldwide

More information

〔ものづくり紀行 第三十六回〕

〔ものづくり紀行 第三十六回〕 ISSN 1347-4448 ISSN 1348-5504 8 8 (2009 8 ) E-mail: tajinoriko@nifty.com 1. 1.1. 1938 Hewlett-Packard 1950 60 1970 80 Apple Oracle IT 1990 Netscape Google 2006 2009 1.2. 2006 2,357 40 17% 13 6 4 1 260

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

untitled

untitled PC murakami@cc.kyushu-u.ac.jp muscle server blade server PC PC + EHPC/Eric (Embedded HPC with Eric) 1216 Compact PCI Compact PCIPC Compact PCISH-4 Compact PCISH-4 Eric Eric EHPC/Eric EHPC/Eric Gigabit

More information

(1) BLUETOOTH HT-CT660

(1) BLUETOOTH HT-CT660 4-452-002-04(1) BLUETOOTH HT-CT660 3 5 6 8 1 1 2 100V 3 4 AV SA-CT660 22 cm 5 2.4 GHz 1. 2. 3. 6 2.4 GHz 10 m 2.4 GHz FH-SS 10 m AAC D *2 5,956,674 5,974,380 6,226,616 6,487,535 7,212,872 7,333,929 7,392,195

More information

LM6172 デュアル高速低消費電力、低歪み電圧帰還アンプ

LM6172 デュアル高速低消費電力、低歪み電圧帰還アンプ Dual High Speed, Low Power, Low Distortion, Voltage Feedback Amplifiers Literature Number: JAJS854 100MHz 3000V/ s 50mA 2.3mA/ 15V ADSL 5V VIP III (Vertically Integrated PNP) LM6171 Dual High Speed, Low

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

LSI ( ) ( ) ( ) ( )

LSI ( ) ( ) ( ) ( ) 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 2 5F

More information

( ) 15 cm USB PC

( ) 15 cm USB PC Cat S41 ( ) 15 cm USB PC 2017 Caterpillar. CAT CATERPILLAR BUILT FOR IT Caterpillar Yellow Power Edge ID Caterpillar Bullitt Mobile Ltd. Caterpillar Inc. Bullitt Mobile Ltd. Caterpillar Inc. Bluetooth

More information

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部システムコア技術統括部 * 1 shimizu.toru@renesas.com * 2 hasegawa.atsushi@renesas.com * 3 hattori.toshihiro@renesas.com

More information

MAX7319 EV.J

MAX7319 EV.J 19-4043; Rev 0; 2/08 PART TYPE MAX7319EVKIT+ EV Kit DESIGNATION QTY DESCRIPTION C1, C5 C9, C17, C18, C37 9 0.1μF ±10%, 16V X7R ceramic capacitors (0603) TDK C1608X7R1C104K C2 0 Not installed, capacitor

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

本機をお使いの方へ

本機をお使いの方へ MA46H/S Windows 98 / NT 4.0 Windows 98 / NT 4.0 MA46H/S BIOS 1999 10 808-877675-132-A 3 AT 6. 1. BIOS P.7 1. Windows 98 Windows Windows 98 Microsoft Windows 98 Windows NT 4.0 Microsoft Windows NT Workstation

More information

LM837 Low Noise Quad Operational Amplifier (jp)

LM837 Low Noise Quad Operational Amplifier (jp) Low Noise Quad Operational Amplifier Literature Number: JAJSBB7 600 Low Noise Quad Operational Amplifier 2000 8 Converted to nat2000 DTD ds009047tl/h/9047 33020 19860602 10 V/ s ( ); 8 V/ s ( ) 25 MHz

More information

Slide 1

Slide 1 100% サイクル精度モデルベース ESL Carbon SoC Designer Plus & クラウドベースモデル生成サイト Carbon IP Exchange Verify2012 Page 1 Verify2012 カーボン社紹介 本社 : 設立 2002 年 4 月 ( マサチューセッツ州アクトンボストン近郊 ) 米国東海岸 = 多数のシミュレータ ベンダーの発祥地 Carbon 製品

More information

NEC THE INTERNET SOLUTION PROVIDER NEC NEC 12 NEC 16 NEC NEC NEC NEC NEC NEC

NEC THE INTERNET SOLUTION PROVIDER NEC NEC 12 NEC 16 NEC NEC NEC NEC NEC NEC NEC CORPORATION NEC NETWORKS NEC SOLUTIONS THE RIGHT STRENGTHS FOR THE INTERNET ERA NEC ELECTRON DEVICES NEC THE INTERNET SOLUTION PROVIDER NEC 1 2 6 10 NEC 12 NEC 16 NEC 20 24 54 55 56 NEC NEC NEC NEC

More information

平均電流制限(ACL)によるハーフブリッジ入力コンデンサ中点の平衡化

平均電流制限(ACL)によるハーフブリッジ入力コンデンサ中点の平衡化 LM5039 Literature Number: JAJA419 POWER designer Expert tips, tricks, and techniques for powerful designs No. 128 national.com/powerdesigner ACL By Ajay Hari, Senior Applications Engineer and Robert Oppen,

More information

SH Mobile Consortium Day

SH Mobile Consortium Day 2006 7 20 BTO 2 Java TM 3 DoCoMo, KDDI (Software) Sprint, Nextel (PCB Architecture) Vodafone, Hutchinson (System Design) Orange, T-Mobile (Software Design) Telefonica (ID Design) Verizon, Cingular, China

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

1 124

1 124 7 1 2 3 4 5 6 7 8 9 10 11 12 1 124 Phoenix - AwardBIOS CMOS Setup Utility Integrated Peripherals On-Chip Primary PCI IDE [Enabled] IDE Primary Master PIO [Auto] IDE Primary Slave PIO [Auto] IDE Primary

More information

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI)

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI) DA DA シンポジウム 25 27 DAS25 Design Automation Symposium 25/8/26 28nm UTBB FDSOI SOI 28nm UTBB FDSOI Analysis of Soft Error Rates in a 28nm UTBB FDSOI Structure by DeviceLevel Simulation Shigehiro Umehara

More information

HT-CT260

HT-CT260 4-431-775-04(1) Bluetooth HT-CT260 m 3 5 6 7 1 1, 1 2 3 2 ,, 100V, 3 4, AV SA-CT260 ,,,,, 5 6 2.4 GHz 1. 2. 3. 2.4 GHz DS-SS 40 m 2.4 GHz FH-SS 10 m 15 30 *1 MPEG-2 AAC LC DTS* 2 *1 Dolby Pro LogicAAC

More information

01 23A1-W-0012.indd

01 23A1-W-0012.indd Electrical Equipment for High Speed Rolling Stock 23A1-W-0012 1 Fuji Electric produces electrical equipment for Shinkansen (bullet) trains, contributing to the famously safe, high-speed operation of Shinkansen

More information

iExpress5800/N サーバハードウェア

iExpress5800/N サーバハードウェア Press F2 to enter SETUP/Q-Flash Main A Date (mm:dd:yy) Time (hh:mm:ss) >IDE Primary Master IDE HDD Auto-Detection Press Enter Write Cache Disabled Enabled IDE Primary Master Auto None Manual Access

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

CD5003F_cover.indd

CD5003F_cover.indd CD Player CD5003 1 2 3 OPT_080311F1 4 CD TEXT TEXT STANDBY POWER ON/STANDBY MP3/WMA DISPLAY OFF CD PLAYER CD5003 PHONES LEVEL 5 6 q w ª e ª PHONES LEVEL q w 0 e q w er t y u io STANDBY POWER ON/STANDBY

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

/ / SeamlessCVE

/ / SeamlessCVE / / SeamlessCVE From ASIC to SoC Original Hardware Content CPU Core Memory DSP Core Glue Logic PCI Controller Original Hardware Content USB Controller USART Slide 2 SoC SoC ASIC System Architecture ASIC

More information

WIF6002-e

WIF6002-e Installation and Operating Instructions Sartorius IF.. Standard and IF...CE Verifiable Models Flat-bed Scale 98648-012-37 2 ! 3 4 5 6 7 General View of the Equipment 2 4 1 3 5 6 1 Weighing platform 2 Handles

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

QTC LSI Analog Timing Module QTC LSI

QTC LSI Analog Timing Module QTC LSI QTC chip CMOS 2006 1 27 QTC LSI Analog Timing Module QTC LSI QTC LSI QTC LSI Discriminator TDC PMT Signal Self Gate Q Charge and Discharge T Q T 電荷情報を時間に変換して TDC で AD 変換を行う QTC は内部にクロックを持たず Self gate で内部でタイミング信号を生成する

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

STRJ WS: March 4, 2003, 設計 TF/PIDS/FEP クロスカット 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男

STRJ WS: March 4, 2003, 設計 TF/PIDS/FEP クロスカット 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男 本クロスカットの目的と活動内容 低電力 SoCのロードマップ作成と問題点 技術課題の明確化 (1) モバイルマルチメディアの動向調査 (2) 現状 (0.18um) の低電力 SoCの分析 (3) 低電力 SoC 設計モデルの作成 ( 初期モデル

More information

地球観測衛星データの保存・配布システム

地球観測衛星データの保存・配布システム Earth Remote Sensing Data Archive and Distribution System NASAEOS Earth Observing SystemASTER Advanced Spaceborne Thermal Emission and Reflection Radiometer ASTERASTER EOS ASTER DADSData Archive and Distribution

More information

Spansion_Corporate_Presentation

Spansion_Corporate_Presentation 世界の半導体動向と 生き残りをかけた日本の半導体 株式会社スパンション イノベイツ デザイン代表取締役社長兼スパンション イノベイツ株式会社技術本部長代理独古康昭 1.Oct. 2013 1 2013 SIDL. 世界の半導体半導体動向 2 2013 SIDL. 半導体会社構造 Business R&D Products Customer Strength Weakness Model Fabless

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

353 ISO/IEC JTC1 SC LSI 1 1 ASIC RISC SPARC 4 Fig. 1 1 Fig. 1 Diagram of Responsive Processor [2] [6] [7] [8] [9] 4 PCI USB RS 232C A/D D/A PWM

353 ISO/IEC JTC1 SC LSI 1 1 ASIC RISC SPARC 4 Fig. 1 1 Fig. 1 Diagram of Responsive Processor [2] [6] [7] [8] [9] 4 PCI USB RS 232C A/D D/A PWM 352 Vol. 19 No. 3, pp.352 361, 2001 1 2 Responsive Processor for Parallel/Distributed Real Time Control Nobuyuki Yamasaki 1 and Toshihiro Matsui 2 In this paper, we propose and design Responsive Processor

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 7 8 1 1 2 1 10 1 3 1 11 2 12 2 3 1 13 2 14 2 3 1 15 2 16 2 3 1 17 2 1 2 3 4 5 18 2 6 7 8 3 1 1 2 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3 1 27 2 28

More information

HP ProLiant 500シリーズ

HP ProLiant 500シリーズ HPProLiant5 DL58/585 HPProLiant5 4 HPProLiant5 HPProLiant5 64 HPProLiant5 TPC-H@1GB 4, 34,99 SAP SD Benchmark Users QphH@1GB 3, 2, 1, 4, 3, 2, 1, DL58 G5, Xeon X735 DL585 G5, AMD Opteron 836SE 17,12 DL58

More information

BR indd

BR indd EMS www.eaton.eu Easy Multifunctional Safe EMS Eaton Moeller IEC L L L V DC LEDs PE M Class 0 Class 0 A [s] 000 00 00 00 00 00 0 0 0 0 0 0. 0. 0. 0. Class 0 Class 0 A 0 7 8 9 0 I/I N 0 0 A EMS /N/PE ~0

More information

JIIAセミナー

JIIAセミナー Digital Interface IIDC URL teli.co.jp/ E-Mail http://www.toshiba-teli.co.jp teli.co.jp/ s-itokawa@toshiba-teli.co.jpteli.co.jp EIA,NTSC EIA,NTSC 4-5 JIIA JIIA - / Digital Interface Digital Interface IEEE1394

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

EQUIUM EQUIUM 1

EQUIUM EQUIUM 1 EQUIUM EQUIUM 1 1 1 2 3 4 2 1 2 3 2 3 1 2 3 4 5 6 7 8 9 4 1 2 3 5 1 2 3 1 2 3 4 5 6 7 6 1 3 7 1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 4 5 6 7 8 9 10 1 1 2 3 4 12 1 13 14 1 15 16 1 17 18 1 1 1 19 1 2 20 3 1

More information

スライド 1

スライド 1 STRJ WS: March 9, 2006, 0.35µm 0.8µm 0.3µm STRJ WS: March 9, 2006, 2 0.35µm Lot-to-Lot, Wafer-to-Wafer, Die-to-Die(D2D) D2D 0.8µm (WID: Within Die) D2D vs. WID 0.3µm D2Dvs. WID STRJ WS: March 9, 2006,

More information

ACE Associated Computer Experts bv

ACE Associated Computer Experts bv CoSy Application CoSy Marcel Beemster/Yoichi Sugiyama ACE Associated Compiler Experts & Japan Novel Corporation contact: yo_sugi@jnovel.co.jp Parallel Architecture 2 VLIW SIMD MIMD 3 MIMD HW DSP VLIW/ILP

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information

Application Note 1194 Failsafe Biasing of LVDS Interfaces (jp)

Application Note 1194 Failsafe Biasing of LVDS Interfaces (jp) Application Note 1194 Failsafe Biasing of LVDS Interfaces Literature Number: JAJA274 LVDS LVDS 3 2 LVDS High Low 1. LVDS 2. LVDS (V CC 0V ) 3. LVDS ( ) 1. LVDS ( ) 2. LVDS 1. LVDS 2. LVDS High Low LVDS

More information