Microsoft PowerPoint - matlab_expo_pub.pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - matlab_expo_pub.pptx"

Transcription

1 ヒューマノイドロボット HYDRA の 開発における MATLAB の活用 東京大学神永拓

2 開発の動機 フィールドで実用的に使用できるロボットをどうやったら作れるのか? パワー 耐久性 制御性 操作性 2016/10/19 MATLAB EXPO

3 なにをしなければならないか ( 直感的 ) 操作性 制御性 人型構造 柔軟性の実現 頑健性 アクチュエータ 機構の改善 自律性 多数のセンサ 高速制御 制御システム 駆動系 動作計画 センサ 2016/10/19 MATLAB EXPO

4 力制御系に影響を与える要因 Backdrivability Reference Controller Plant Output Observer Sensor Force Measurement 2016/10/19 MATLAB EXPO

5 バルブを用いない油圧駆動系 Strength Torque Sensing Humanoid robots with valve controlled hydraulics [Cheng2006, Nelson2012, Hyon2015] 2016/10/19 Humanoid robot with EHA [Alfayad2011] MATLAB EXPO

6 バルブを用いない油圧駆動系 Strength Torque Sensing Torque Sensing Modularity Humanoid robots with valve controlled hydraulics [Cheng2006, Nelson2012, Hyon2015] 2016/10/19 Humanoid robot with EHA [Alfayad2011] MATLAB EXPO

7 電気静油圧アクチュエータ (EHA) Strength Backdrivability Torque Sensing Built In Torque Limiter Modularity 2016/10/19 MATLAB EXPO

8 Conceptual Structure of the Robot 2016/10/19 Joint Range of Motion [deg] Max. Torque [Nm] Hip Yaw 60 ~ Hip Roll 22.5 ~ Hip Pitch 105 ~ Knee 10 ~ Ankle Roll 24.2 ~ Ankle Pitch 44 ~ Waist Roll 22.5 ~ Waist Pitch 0 ~ Clavicle 30 ~ Shoulder Roll 17.5 ~ Shoulder Pitch 27.3 ~ Shoulder Yaw 30 ~ Elbow 117 ~ Wrist Yaw 10 ~ Wrist Roll 29.1 ~ Wrist Pitch 66 ~ MATLAB EXPO

9 EHA のモジュール化 Max. Pressure ~7MPa 2016/10/19 MATLAB EXPO

10 閉リンクを用いた関節駆動 1 自由度 2 自由度 2016/10/19 MATLAB EXPO

11 ロボットの構造設計 Modular Design Parallel Serial Hybrid Kinematics 2016/10/19 MATLAB EXPO

12 分散制御系の開発 Realtime Requirement Serial Comm. Processing Current Control FPGA Protocol Stack Handling MCU (CPU, no OS) Status Management Friction Compensation, DOB PC (CPU, RTOS) Whole Body Coordination Operational Space Control 2016/10/19 MATLAB EXPO 2016 Amount of Computation 12

13 体内 LAN の種類 Speed Protocol Realtime Stack I2C 5Mbps N Y CAN 1Mbps Y N(Y for sigle master case) FlexRay 10Mbps Y Y CU Net 12Mbps Y Y RS Mbps N Y SPI ~20Mbps N Y SERCOS II 10Mbps Y Y SERCOS III 100Mbps Y Y Ethernet 1000Mbps Y N EtherCAT 100Mbps Y Y 清水ら2001 Kaneko et al Sugihara et al Englsberger et al Lohmeier et al /10/19 MATLAB EXPO

14 制御ハードウェアのブロック図 MCU Board Driver Board Joint Actuation FOG F/T Sensor Accelerometer 2016/10/19 Sensor Interface MATLAB BoardEXPO 2016 Sensor Interface Board 14

15 MCU + FPGA 駆動系制御ハードウェア EtherCAT Master (RT PC) LVDS MD MD FPGA+SiC 2016/10/19 MATLAB EXPO

16 計算の階層構造 PC Congnitive Systems Low RT PC MCU FPGA LVDS ROS shm + sem EtherCAT EHA Position / Pressure Control FOC Current Control Whole Body Motion Generation Parallel Serial Linkage Conversion FOC Current Control FOC Current Control Robot Status Management EHA Position / Pressure Control 1 khz 1 khz 5kHz FOC Current Control 20kHz Real Time Requirement High 2016/10/19 MATLAB EXPO

17 パラレルリンクの等価開リンク系への変換 2016/10/19 MATLAB EXPO

18 閉リンクのシンボリックな計算 Rp = [cos(q_1),0,sin(q_1);0,1,0; sin(q_1),0,cos(q_1)]; Rr = [1,0,0;0,cos(q_2), sin(q_2);0,sin(q_2),cos(q_2)]; pend_1 = [xend;yend;zend]; pend_2 = [ xend;yend;zend]; pcyl_01 = [xcyl;ycyl;z_1+zcyl]; pcyl_02 = [ xcyl;ycyl;z_2+zcyl]; pend_01 = Rp*Rr*pend_1; pend_02 = Rp*Rr*pend_2; d1 = pend_01 pcyl_01; d2 = pend_02 pcyl_02; l1sq = norm(d1)^2; l2sq = norm(d2)^2; z_1_sol = solve(l1sq==lc^2,z_1); z_2_sol = solve(l2sq==lc^2,z_2); Symbolic Math Toolbox による求解 さらにシンボリックな微分によりヤコビ行列を計算 z_1_sol = (lc^2 abs(ycyl yend*cos(q_2) + zend*sin(q_2))^2 abs(xend*cos(q_1) xcyl + zend*cos(q_2)*sin(q_1) + yend*sin(q_1)*sin(q_2))^2)^(1/2) zcyl xend*sin(q_1) + zend*cos(q_1)*cos(q_2) + yend*cos(q_1)*sin(q_2) zend*cos(q_1)*cos(q_2) (lc^2 abs(ycyl yend*cos(q_2) + zend*sin(q_2))^2 abs(xend*cos(q_1) xcyl + zend*cos(q_2)*sin(q_1) + yend*sin(q_1)*sin(q_2))^2)^(1/2) xend*sin(q_1) zcyl + yend*cos(q_1)*sin(q_2) 2016/10/19 MATLAB EXPO

19 複雑なマルチプロセッサシステムの組み込み開発 Control Objectives MCU (C/C++) FPGA (Verilog HDL) Working with multiple language Difficulty of simulation 2016/10/19 MATLAB EXPO

20 Simulink による電流ベクトル制御系の統 合シミュレーションと自動コード生成 MCU FPGA1 FPGA2 2016/10/19 MATLAB EXPO

21 電流ループシミュレーション結果 2016/10/19 MATLAB EXPO

22 Simulink によるモジュール化設計 Fixed Logic Logic under work 2016/10/19 MATLAB EXPO

23 PWM 波形生成 t on 上アーム 有効な high 時間 下アーム t d 短絡防止時間 t off 有効な low 時間 キャリア波形 2016/10/19 MATLAB EXPO

24 State Flow による実装 2016/10/19 MATLAB EXPO

25 MATLAB/Simulink を使うメリット 複雑なロジックを検証しつつ実装できる 複数のプラットフォームに渡る開発を統合的に行うことができる 可視化が容易 開発の高速化 バグの最小化 2016/10/19 MATLAB EXPO

26 MATLAB/Simulink を組み込みに 使う上での難しさ 極めてリソースが限られている場合の難しさ リソースの再利用 データ幅の自由度 FPGA ならではの難しさ 純粋なクロックのみを使ったマルチレート制御 各社提供 IP の利用の難しさ マイコンならではの難しさ 自分で書いた C の関数を使いにくい あまりスケールが大きくなるとシミュレーションが遅くなる 2016/10/19 MATLAB EXPO

27 生成しにくいロジックの例 reg [3:0] clk2_cnt; reg clk2; clk) begin clk2_cnt <= clk2_cnt + 4b 1; if(clk2_cnt==4 b0) begin clk2 <= 1 b1; end else begin clk2 <= 1 b0; 内部でのイベント発生 end end clk2) begin /* slow process */ end これはやりたくない reg [3:0] clk2_cnt; reg clk2; clk) begin clk2_cnt <= clk2_cnt + 4b 1; if(clk2_cnt==4 b0) begin clk2 <= 1 b1; end else begin clk2 <= 1 b0; end end clk) begin if(clk2 ==1 b1) begin /* slow process */ end end 外部クロック同期でのイベント実行 2016/10/19 MATLAB EXPO

28 MATLAB/Simulink を組み込みに 使う上での難しさ 極めてリソースが限られている場合の難しさ リソースの再利用 データ幅の自由度 FPGA ならではの難しさ 純粋なクロックのみを使ったマルチレート制御 各社提供 IP の利用の難しさ マイコンならではの難しさ 自分で書いた C の関数を使いにくい あまりスケールが大きくなるとシミュレーションが遅くなる 2016/10/19 MATLAB EXPO

29 最適化を用いた可動域の計算 2016/10/19 MATLAB EXPO

30 CAD とのインテグレーション SolidWorks MATLAB Optimization Toolbox Macro Interface 2016/10/19 MATLAB EXPO

31 開発した制限装置 2016/10/19 MATLAB EXPO

32 ヒューマノイドロボット HYDRA 2016/10/19 Height 1800mm Weight 130kg MATLAB EXPO

33 まとめ PC Rob. System Congnitive Systems RT PC E Coder Whole Body Motion Control Parallel Serial Linkage Conversion Robot Status Management Simulink Real Time Sym Math MCU EHA Position / Pressure Control EHA Position / Pressure Control E Coder FPGA FOC Current Control FOC Current Control FOC Current Control FOC Current Control HDL Coder 2016/10/19 MATLAB EXPO

34 結論 1. ヒューマノイドロボット Hydra の設計を紹介した 2. Hydra のメカトロニクスを例にとり,MATLAB/ Simulink がどのように開発に用いられたのか紹介した C/HDL の統合的な開発 シミュレーションのメリット 独自コードを組み込んだ開発の難しさ 3. SolidWorks との統合による Optimization Toolbox を用いた最適化設計を紹介した 4. 開発したロボットの動作を紹介した 2016/10/19 MATLAB EXPO

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

MATLAB EXPO 2019 Japan プレゼン資料の検討

MATLAB EXPO 2019 Japan プレゼン資料の検討 自動運転向けソフトウェア Autoware と MATLAB /Simulink の連携 ~ 事例紹介 ~ 2019 年 5 月 28 日株式会社ネクスティエレクトロニクス SW 開発部技術開発グループ太田徳幸 Copyright TOMEN Electronics Corp. 目次 2/31 1. 会社概要 2. Autoware Toolbox 紹介 1. 取り組み背景 2. Autoware

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

サイバネットニュース No.115

サイバネットニュース No.115 Fall 2005 no.115 C O N T E N T S 1 2 2 3 4 4 5 5 6 7 8 9 10-11 12-14 15 16 CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE BP 1 Just-in-TimeIT epiplex Epiance4.7 CAD/CAE ERPWindows

More information

発表資料

発表資料 Dual-arm Manipulation Planning Kensuke Harada, Weiwei Wan and Ixchel G. Ramiez-Alpizar Manipulation Research Group National Institute of Advanced Industrial Science and Technology (AIST) 1 Today s Talk

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63>

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63> MATLAB/Simulink による現代制御入門 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/9241 このサンプルページの内容は, 初版 1 刷発行当時のものです. i MATLAB/Simulink MATLAB/Simulink 1. 1 2. 3. MATLAB/Simulink

More information

スライド 1

スライド 1 Katsura Laboratory Integrated Design Engineering Keio University ( 位置 力再現方法および位置 力再現装置 ) Department of Electrical Engineering Nagaoka University of Technology 長岡技術科学大学助教横倉勇希 新技術説明会 触覚 力覚情報の伝送 聴覚情報の伝送 視覚情報の伝送

More information

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~ 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者

More information

mt_4.dvi

mt_4.dvi ( ) 2006 1 PI 1 1 1.1................................. 1 1.2................................... 1 2 2 2.1...................................... 2 2.1.1.......................... 2 2.1.2..............................

More information

航空機の縦系モデルに対する、非線形制御の適用例

航空機の縦系モデルに対する、非線形制御の適用例 制御システム工学研究グルプ 航空機の縦系モデルに対する非線形最適制御の適用例 菊池芳光 * * 名古屋大学 MBD 中部コンファレンス @2014 年 12 月 18 日 目次 はじめに 先行研究 提案手法 縦系航空機モデル シミュレーション結果 おわりに はじめに PIO(Pilot Induced Oscillation) Category II 速度飽和 位相遅れ PIO 事故 PIOにより墜落するGripen

More information

LM150/LM350A/LM350 3A 可変型レギュレータ

LM150/LM350A/LM350 3A 可変型レギュレータ LM150,LM350,LM350A LM150/LM350A/LM350 3-Amp Adjustable Regulators Literature Number: JAJSBC0 LM350A/LM350 3A LM350 1.2V 33V 3A 3 IC 2 & IC ADJ 6 ADJ LM350 100V ADJ LM350 ADJ 1.2V 3A LM350A 3A LM350 3A

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

SICE東北支部研究集会資料(2012年)

SICE東北支部研究集会資料(2012年) 77 (..3) 77- A study on disturbance compensation control of a wheeled inverted pendulum robot during arm manipulation using Extended State Observer Luis Canete Takuma Sato, Kenta Nagano,Luis Canete,Takayuki

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB 2008 5 22 Quick history - Cleve Moler s original MATLAB program Quick history The MathWorks in 1984 Cleve Moler Jack Little MATLAB/Simulink MATLAB 80 / MATLAB Toolbox 100 Simulink Blockset Stateflow

More information

2004 3

2004 3 JAIST Reposi https://dspace.j Title 様々なデータを扱えるストリーミング技術を用いて機 器間の通信 制御を行う研究 Author(s) 明壁, 祐基 Citation Issue Date 2004-03 Type Thesis or Dissertation Text version author URL http://hdl.handle.net/10119/1806

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

Presentation Title

Presentation Title モデルベースデザインではじめる自律型アームロボットの開発 導入 アプリケーションエンジニアリング部小林昇洋 2015 The MathWorks, Inc. 1 本セッションでお伝えしたいこと 自律ロボットシステムの開発のキーポイント : 1. マルチドメインシミュレーション 2. 複雑な開発要素をトータルでサポートする開発環境 3. モデルベースデザイン 2 自律ロボットシステムの開発における課題

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

新技術説明会 様式例

新技術説明会 様式例 1 ロボットへの FPGA 導入を 容易化する コンポーネント技術 宇都宮大学大学院工学研究科情報システム科学専攻助教大川猛 2 従来技術とその問題点 FPGA(Field Programmable Gate Array) は 任意のディジタル論理回路をプログラム可能な LSI ソフトウェアでは時間がかかる画像認識処理等を ハードウェア化して 高速化 低消費電力化可能 問題点 FPGA 上の回路設計が難しい

More information

untitled

untitled 慣性運動計測機器 クロ ス ボ ー株 式 会 社 Crossbow Japan Ltd. MEMS 3 1 X Y Z 3 RS-232 Windows Gyro-View Nav-View GPS NAV420 3 AHRS400 VG400 6 IMU400 (AHRS) TEL: 06-6489-5922 FAX: 06-6489-5910 E-MAIL: sales@xbow.jp WEB:

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

Microsoft PowerPoint - ロボットの運動学forUpload'C5Q [互換モード]

Microsoft PowerPoint - ロボットの運動学forUpload'C5Q [互換モード] ロボットの運動学 順運動学とは 座標系の回転と並進 同次座標変換行列 Denavit-Hartenberg の表記法 多関節ロボットの順運動学 レポート課題 & 中間試験について 逆運動学とは ヤコビアン行列 運動方程式 ( 微分方程式 ) ロボットの運動学 動力学 Equation of motion f ( ( t), ( t), ( t)) τ( t) 姿勢 ( 関節角の組合せ ) Posture

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

PowerPoint Presentation

PowerPoint Presentation INDUSTRIAL AUTOMATION フィールドデバイスに PROFINET IO を実装する 3 つの方法 要求事項 ソリューション1 スタックポーティング ソリューション2 IPコア実装 ソリューション3 モジュール実装 Page 2 要求と制限事項 お客様側から お客様はプロトコルのエキスパートになることを望んでいない お客様は短い時間で 柔軟なスケジュールで解決したい お客様は将来の機能拡張に対してもシームレスに統合できることを望んでいる

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

制御のためのSimulink入門

制御のためのSimulink入門 今から始める Simulink 入門 ~ 制御編 ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2013 The MathWorks, Inc. 1 What is Simulink? 2 Why use Simulink? 例 : アポロ月着陸船のデジタル自動操縦の開発 3 Simulink を使うと? 当時の設計者が自ら Simulink

More information

スライド 1

スライド 1 機構学 Part6: ロボットの運動学 金子真 きんにく筋肉 筋紡錘 : 筋肉の長さを測るセンサ モータ センサ ロボットの運動学 関節にモータがついている場合の角度の取り方 関節にモータがついている場合の角度の取り方 関節にモータがついている場合の角度の取り方 関節にモータがついている場合の角度の取り方 関節にモータがついている場合の角度の取り方 ワイヤ駆動式ロボット ワイヤ駆動式ロボット ワイヤプーリ機構の場合

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

CW6_A1441_15_D06.indd

CW6_A1441_15_D06.indd 技術紹介 EPS 用 ECU 試作開発における MBD の適用 小林将之 1 はじめに 従来の組込み制御システム開発の多くは, ドキュメントベースの設計とハンドコーディングにより行われてきた. しかしながら, 自動車分野を中心に電子制御システムの高性能 多機能化が進む一方, 高品質 低コストかつ開発期間の短縮化が要求されている.KYBの代表的な電子制御システムの一つである電動パワーステアリング (

More information

AMS Expoコンテンツ

AMS Expoコンテンツ MATLAB /Simulink を活用した電源システム設計フロー紹介 MathWorks Japan アプリケーションエンジニアリング部初井良治 2013 The MathWorks, Inc. 1 内容 MATLAB /Simulink アナログ ミックスドシグナルシステム設計フロー 適用事例 ( アナログ ミックスドシグナル ) システム設計フロー MATLAB /Simulink の利点 MATLAB

More information

AFO AFO 4 2.3AFO 5 3 AFO 3.1 AFO

AFO AFO 4 2.3AFO 5 3 AFO 3.1 AFO 17 1060126 1 1 2 2 AFO 2.1 3 2.2AFO 4 2.3AFO 5 3 AFO 3.1 AFO 6 3.2 6 3.3 7 3.4 8 3.5 9 4.1 14 4.2 17 4.3 18 4.4 18 5.1 19 5.2 19 5.3 19 5.4 21 6.1 22 23 24 1 1 (Ankle-foot orthosis AFO) 1) AFO(Fig.1) AFO

More information

SimulinkによるReal-Time Test環境の構築

SimulinkによるReal-Time Test環境の構築 Simulink モデルを使ったリアルタイムテスト環境の構築 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア高島博 2012 The MathWorks, Inc. 1 はじめに Simulink はバーチャルなテスト環境 2 はじめに Simulink はバーチャルなテスト環境 3 はじめに Simulink はバーチャルなテスト環境 シミュレーション開始ボタンをクリック

More information

GE巻頭言103.indd

GE巻頭言103.indd 8104 7 2 2002 6 1. はじめに 21 VR VR 2. スポーツ技能向上と VR 技術の整理 2.1 スポーツ技能の分類 1 2 3 4 14 114 VR 2. 2 筋力トレーニング VR VR 8 JVRSJ Vol.7 No.2 June, 2002 1059 2 2.3 感覚器 座標変換トレーニング 3 VR HMD VR VR 2.5 認識 判断 運動トレーニング VR VR

More information

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h]) Atom プロセッサ E6x5C の紹介と FPGA IP Core 活 例の紹介 アイウェーブ ジャパン株式会社 神奈川県横浜市中区住吉町 3 丁目 29 番住吉関内ビル8 階 B Tel: 045-227-7626 Fax: 045-227-7646 Mail: info@iwavejapan.co.jp Web: www.iwavejapan.co.jp 2011/5/30 1 iwave Japan,

More information

スライド 1

スライド 1 環境エンジニアリング アプリケーションシート スマートグリッド開発用汎用プラットフォーム風力発電モニタリング / 制御システム PCベース燃料電池セル評価システム太陽電池パネル評価システムバーチャル試作機 スマートグリッド開発用汎用プラットフォーム エネルギーの見える化 エネルギーマネージメント 電力品質解析 配電制御 制御コントローラ パワーコンディショニング 一般仕様 高信頼性: リアルタイムプロセッサ

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

Layout 1

Layout 1 Industrial communication センサーのデータにアクセスする ifm の IO-Link Digital connection technology for sensors とは? 今日のセンサーはシンプルな ON/OFF のセンサーから 大量のデータを処理するマイクロプロセッサーを搭載した高性能なデバイスまで進化してきました センサー内のデータにアクセスする IO-Link は以下の特徴があるインターフェースです

More information

ユーザーズマニュアル(SVCEシリーズ)

ユーザーズマニュアル(SVCEシリーズ) SV-NET CONTROLLER SVCE SV-NET Controller SVCE SV-NET Controller Ether SV-NET SVCE Ethernet EtherCAT EtherCAT SVCE SVCE SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact

More information

Microsoft PowerPoint RT講習会(送付用)_ [互換モード]

Microsoft PowerPoint RT講習会(送付用)_ [互換モード] データ収集技術講演会 日時 :2012 年 12 月 1 日 ( 土 ) 13:00~17:00 場所 : 広島工業大学五日市キャンパス三宅の森 Nexus21 607 教室 RT-Middleware 活用 株式会社グローバルアシスト坂本武志 RT ミドルウェアとは? 2012.12.1 データ収集技術講演会 Copyright (C) Advanced Industrial Science And

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

untitled

untitled u-japan : HP: http://cis.k.hosei.ac.jp/~jianhua/ (Ubiquitous) (Ubiquitous) : : : : ( 1945 1975 1985 1995 2007 PC PC PC PDA, µ- chip Hitachi s RFID Chip in 2006 By Hitachi, 2003 015 0.15x0.15 015mm Integrated

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Presentation Title

Presentation Title ( ) 2017 The MathWorks, Inc. 1 新型乗用車の CO2 規制の比較 出典 :the International Council on Clean Transportation 2 自動車台数は今後も増加 多くの割合は内燃機関を使用 OEM 各社はコンセプト 想定ターゲットに応じて最適なパワートレインを選択 3 厳しい燃費規制に対応必至 従来の燃焼エンジンからフルバッテリのモータまで

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

VM-53PA1取扱説明書

VM-53PA1取扱説明書 VM-53PA1 VM-53PA1 VM-53 VM-53A VM-52 VM-52A VM-53PA1 VM-53PA1 VM-53A CF i ii VM-53 VM-53A VM-52 VM-52A CD-ROM iii VM-53PA1 Microsoft Windows 98SE operating system Microsoft Windows 2000 operating system

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

MATLAB® における並列・分散コンピューティング ~ Parallel Computing Toolbox™ & MATLAB Distributed Computing Server™ ~

MATLAB® における並列・分散コンピューティング ~ Parallel Computing Toolbox™ & MATLAB Distributed Computing Server™ ~ MATLAB における並列 分散コンピューティング ~ Parallel Computing Toolbox & MATLAB Distributed Computing Server ~ MathWorks Japan Application Engineering Group Takashi Yoshida 2016 The MathWorks, Inc. 1 System Configuration

More information

Presentation Title

Presentation Title 並列計算 並列実行による高速化ソリューション MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア吉田剛士 2012 The MathWorks, Inc. 1 アジェンダ MATLAB R2012b ハイライト PCT / MDCS 新機能ハイライト Simulink プロダクトの並列化 まとめ 2 MATLAB R2012b のハイライト 1 新しいデスクトップ

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

IPSJ SIG Technical Report Vol.2012-EMB-26 No /9/10 1,a) 1,b) 1,c) 1,d) 1,e) 1,f) 1,g) 5 3D HILS HILS An Integrated Driving Simulator for Interdi

IPSJ SIG Technical Report Vol.2012-EMB-26 No /9/10 1,a) 1,b) 1,c) 1,d) 1,e) 1,f) 1,g) 5 3D HILS HILS An Integrated Driving Simulator for Interdi 1,a) 1,b) 1,c) 1,d) 1,e) 1,f) 1,g) 5 3D HILS HILS An Integrated Driving Simulator for Interdisciplinary Education of Automotive Science in Kyushu University Makoto Uchiyama 1,a) Taketoshi Kawabe 1,b) Katsumi

More information

...J......1803.QX

...J......1803.QX 5 7 9 11 13 15 17 19 21 23 45-1111 48-2314 1 I II 100,000 80,000 60,000 40,000 20,000 0 272,437 80,348 82,207 81,393 82,293 83,696 84,028 82,232 248,983 80,411 4,615 4,757 248,434 248,688 76,708 6,299

More information

AN424 Modbus/TCP クイックスタートガイド CIE-H14

AN424 Modbus/TCP クイックスタートガイド CIE-H14 Modbus/TCP クイックスタートガイド (CIE-H14) 第 1 版 2014 年 3 月 25 日 動作確認 本アプリケーションノートは 弊社取り扱いの以下の機器 ソフトウェアにて動作確認を行っています 動作確認を行った機器 ソフトウェア OS Windows7 ハードウェア CIE-H14 2 台 ソフトウェア ezmanager v3.3a 本製品の内容及び仕様は予告なしに変更されることがありますのでご了承ください

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T341 コンピュータ論理設計 Computer Logic Design 10. シングルサイクルプロセッサのデータパス Datapath for Single Cycle Processor 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

GenieATM 6300-T / 6200-T シリーズ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からロ

GenieATM 6300-T / 6200-T シリーズ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からロ 1. 基本的な機器オペレーションのために 1-1. 機器への接続 機器への接続方法は 以下の 2 通りがあります シリアルポートを使用してログインする LAN 経由で Telnet または SSH を使用して仮想 Interface からログインする 工場出荷時は装置の IP アドレスが設定されていないので Telnet, SSH ではログインできません この資料では シリアルポートを使用する場合の方法を説明します

More information

Low Friction Anatomic 1

Low Friction Anatomic 1 Ver.6.2 LFA Total Knee System Low Friction Anatomic 1 Low Friction Advanced Ceramic Technology Anatomic Modular System 2 3 0.1 0-0.1-0.2-0.3-0.4 0 50 100 150 200 4 5 6 A3 A5 A4 A2 A1 Anterior Med. Lat.

More information

??

?? Real-time Remote Control & Monitoring System EDUNET HYBRID EDITION 製品紹介 創造デザイン http://sozodg.com Real-time Remote Control & Monitoring System 1. 概要 1) EduNet Hybrid System は設備 PC の CPU リソースを使用せずに Full

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

PowerPoint Presentation

PowerPoint Presentation 知能システム論 1 (9) 2015.6.17 情報システム学研究科情報メディアシステム学専攻知能システム学講座末廣尚士 13. アームモデルの Python による表現 理想ロボット :ArmWithHand 構造は関係なし move: 手先や持った物を動かす ハンド :Hand open, close, width アームのリンクの計算 :Link set_jparam シリアルリンクアーム :LinkedArm

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

Development of Induction and Exhaust Systems for Third-Era Honda Formula One Engines Induction and exhaust systems determine the amount of air intake

Development of Induction and Exhaust Systems for Third-Era Honda Formula One Engines Induction and exhaust systems determine the amount of air intake Development of Induction and Exhaust Systems for Third-Era Honda Formula One Engines Induction and exhaust systems determine the amount of air intake supplied to the engine, and as such are critical elements

More information

Presentation Title プレゼンテーションのタイトル

Presentation Title プレゼンテーションのタイトル MATLAB/Simulink を用いた次世代イメージングシステムの FPGA 実装 2016 年 10 月 19 日オリンパス株式会社技術開発部門モバイルシステム開発本部画像技術部吉崎和徳, 山田博 Agenda 1. オリンパス概要 ( 吉崎 ) 2. 次世代イメージングシステム研究開発 ( 吉崎 ) 3. MATLAB/Simulink を用いた FPGA 実装 ( 山田 ) 4. まとめ (

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

Technische Beschreibung P82R SMD

Technische Beschreibung P82R SMD P26 halstrup-walcher GmbH http://www.krone.co.jp/ Stegener Straße 10 D-79199 Kirchzarten, Germany 124-0023 2-22-1 TEL:03-3695-5431 FAX:03-3695-5698 E-MAIL:sales-tokyo@krone.co.jp 530-0054 2-2-9F TEL:06-6361-4831

More information

24 LED A visual programming environment for art work using a LED matrix

24 LED A visual programming environment for art work using a LED matrix 24 LED A visual programming environment for art work using a LED matrix 1130302 2013 3 1 LED,,,.,. Arduino. Arduino,,,., Arduino,.,, LED,., Arduino, LED, i Abstract A visual programming environment for

More information

20 15 14.6 15.3 14.9 15.7 16.0 15.7 13.4 14.5 13.7 14.2 10 10 13 16 19 22 1 70,000 60,000 50,000 40,000 30,000 20,000 10,000 0 2,500 59,862 56,384 2,000 42,662 44,211 40,639 37,323 1,500 33,408 34,472

More information

- 2 -

- 2 - - 2 - - 3 - (1) (2) (3) (1) - 4 - ~ - 5 - (2) - 6 - (1) (1) - 7 - - 8 - (i) (ii) (iii) (ii) (iii) (ii) 10 - 9 - (3) - 10 - (3) - 11 - - 12 - (1) - 13 - - 14 - (2) - 15 - - 16 - (3) - 17 - - 18 - (4) -

More information

2 1980 8 4 4 4 4 4 3 4 2 4 4 2 4 6 0 0 6 4 2 4 1 2 2 1 4 4 4 2 3 3 3 4 3 4 4 4 4 2 5 5 2 4 4 4 0 3 3 0 9 10 10 9 1 1

2 1980 8 4 4 4 4 4 3 4 2 4 4 2 4 6 0 0 6 4 2 4 1 2 2 1 4 4 4 2 3 3 3 4 3 4 4 4 4 2 5 5 2 4 4 4 0 3 3 0 9 10 10 9 1 1 1 1979 6 24 3 4 4 4 4 3 4 4 2 3 4 4 6 0 0 6 2 4 4 4 3 0 0 3 3 3 4 3 2 4 3? 4 3 4 3 4 4 4 4 3 3 4 4 4 4 2 1 1 2 15 4 4 15 0 1 2 1980 8 4 4 4 4 4 3 4 2 4 4 2 4 6 0 0 6 4 2 4 1 2 2 1 4 4 4 2 3 3 3 4 3 4 4

More information

I? 3 1 3 1.1?................................. 3 1.2?............................... 3 1.3!................................... 3 2 4 2.1........................................ 4 2.2.......................................

More information

1 (1) (2)

1 (1) (2) 1 2 (1) (2) (3) 3-78 - 1 (1) (2) - 79 - i) ii) iii) (3) (4) (5) (6) - 80 - (7) (8) (9) (10) 2 (1) (2) (3) (4) i) - 81 - ii) (a) (b) 3 (1) (2) - 82 - - 83 - - 84 - - 85 - - 86 - (1) (2) (3) (4) (5) (6)

More information

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析 ホワイトペーパー Excel と MATLAB の連携がデータ解析の課題を解決 製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析に使用することはできず

More information

SICE東北支部研究集会資料(2010年)

SICE東北支部研究集会資料(2010年) 261 (21.11.17) 261-6 Development of a wireless smart thruster module for underwater robots Takuya Omuro, Takayuki Takahashi Fukushima University : (underwater robots) (thruster) (module) (small) : 96-1296

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

LPM-CBUSB2

LPM-CBUSB2 LPM-CBUSB V04A CardBus USB.0 INTERFACE Card USB.0 LPM-CBUSB ... 1... 4... 5... 5... 6... 6... 7... 8... 9 Windows XP... 9 Service Pack... 9... 10... 1...16...... 3 Windows Me...4... 4...7 Windows 98...8...

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

光学

光学 Range Image Sensors Using Active Stereo Methods Kazunori UMEDA and Kenji TERABAYASHI Active stereo methods, which include the traditional light-section method and the talked-about Kinect sensor, are typical

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2017 TRON Symposium 於東京ミッドタウン Open IoT:IoT 時代の人材育成産業界におけるIoT 分野の人材育成 1 Human resource development in industries for IoT applications 2017 年 12 月 14 日日本電気株式会社宇宙システム事業部 Space Systems Division, NEC Corporation

More information