DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

Similar documents
Verilog HDL による回路設計記述

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

PLDとFPGA

untitled

VLD Kazutoshi Kobayashi

main.dvi


相続支払い対策ポイント

150423HC相続資産圧縮対策のポイント

ハピタス のコピー.pages

Copyright 2008 All Rights Reserved 2

VelilogHDL 回路を「言語」で記述する

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

untitled

デザインパフォーマンス向上のためのHDLコーディング法

初心者にもできるアメブロカスタマイズ新2016.pages

- 2 Copyright (C) All Rights Reserved.

Copyright 2008 NIFTY Corporation All rights reserved. 2

Copyright All Rights Reserved. -2 -!

IPA:セキュアなインターネットサーバー構築に関する調査

Microsoft Word - 最終版 バックせどりismマニュアル .docx

プリント

Łñ“’‘‚2004


PowerPoint プレゼンテーション

R1RW0408D シリーズ

Nios II 簡易チュートリアル

Copyright 2009, SofTek Systems, Inc. All rights reserved.

untitled

Releases080909

Copyright Qetic Inc. All Rights Reserved. 2


Design at a higher level

how-to-decide-a-title

N12866N2P-H.PDF

h1_h4_


ザイリンクス XAPP454 『Spartan-3 FPGA の DDR2 SDRAM メモリ インターフェイス』

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

健康保険組合のあゆみ_top

リバースマップ原稿2

Microsoft PowerPoint - Lec pptx

1

R1RP0416D シリーズ

1 Point 2 Point 3 Point 5 490, ,059,000 2,235,500 2,890,500 4,449, ,000 1,554,000 3,108,000 3,885,000 3,885,000 4,132,

Cyclone IIIデバイスのI/O機能

Yahoo Listing Ads - Ad Serving Policy Cloaking Yahoo! Copyright 2012 Yahoo Japan Corporation. All Rights Reserved. 1

[FX11]シリーズカタログ


untitled


LSI LSI

Unconventional HDL Programming ( version) 1

R1RW0416DI シリーズ

やよいの顧客管理

弥生給与/やよいの給与計算

弥生 シリーズ

弥生会計 プロフェッショナル/スタンダード/やよいの青色申告

弥生会計/やよいの青色申告

弥生会計 ネットワーク/プロフェッショナル2ユーザー


Microsoft PowerPoint - FPGA

untitled

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

基本 CMYK

HardCopy IIIデバイスの外部メモリ・インタフェース

ディジタル電子回路 設計演習課題

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

EPSON EHDMC10 ユーザーズガイド

スライド 1

Copyright 2006 KDDI Corporation. All Rights Reserved page1

2


1000 Copyright(C)2009 All Rights Reserved - 2 -


0.45m1.00m 1.00m 1.00m 0.33m 0.33m 0.33m 0.45m 1.00m 2

PowerPoint プレゼンテーション

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

DS

FPGAによる24時間時計回路

! Copyright 2015 sapoyubi service All Rights Reserved. 2

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

PCオーディオ活用ガイド

report03_amanai.pages

report05_sugano.pages

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

論理設計の基礎

KDDI

II (No.2) 2 4,.. (1) (cm) (2) (cm) , (

TFT LCD Monitor User Manual.book

WT3000 プレシジョンパワーアナライザ ユーザーズマニュアル

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

vol11_all

IP IP All contents are Copyright (c) All rights reserved. Important Notices and Privacy Statement. page 2 of 39

AD8212: 高電圧の電流シャント・モニタ

User's Guide

VHDL

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

Transcription:

30 1631158 1 29 () 1

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2

1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3.............................................. 5 2 6 2.1..................................... 6 2.2............................... 7 2.3.................................... 8 2.4......................................... 8 2.5.................................... 9 3 10 3.1.............................................. 10 3.2.......................................... 11 3.3................................. 12 3.3.1.............................. 12 3.3.2................................ 13 3.3.3............................ 13 4 14 4.1.............................................. 14 4.2 Tri ()...................................... 15 4.3 Tri ()...................................... 15 4.4 Lyr ()..................................... 16 4.5 S v GA d.................................... 16 5 17 5.1.................................. 17 5.2 4.............................. 18 5.3 3.............................. 19 5.4 2.............................. 20 5.5 1.............................. 21 6 22 6.1................................. 22 6.2............................. 23 26 A Velilog HDL 28 3

1 1.1 DELPHINUS( DLP) 2019 NASA SLS DLP FPGA(Field Programmable Gate Array) 4 5*5 FPGA 1: EQUULEUS DELPHINUS 1.2 (Lunar Impact Flush) cm0.010.1 cmm 2017 5 2 ( ) 2: 2017 5 2 () 4

1.3 DLP FPGA 60fps 1/60 3: DELPHINUS 5

2 2.1 ( TLP-78) mw 620 670 nm 5 m 8 mm 10 mm 4: 5: 6

2.2 Xilinx ZYBO( 6 ) Velilog HDL Vivado2014.4 Xilinx.SDK2014.4 8 0 () 17 8 6: ZYBO 7

2.3 ( 7 ) 8 mm 10 mm(5 m ) 0.5 mm 1/1000 7: 2.4 () 0.3 W ( 99.999 /100000 ) 8: 8

2.5 ( KT-) () 9: () () 5 ms 2400 10: 9

3 11: 3.1 11 11 (1) ( 80 s) 11 (3) (2) () (4) BMC 10

3.2 ( 12 ) 12: 13: 30 11

3.3 (, USB4000) (3100 K ) ( 14 ) 14: 3.3.1 15: 12

3.3.2 3100 K 3100 K (3100K) 16: 3.3.3 1 17: 620 670 nm 630 640 nm 99% 13

4 (Point Grey GS3-U3-15S5M) DLP ( 35.7 mm 50 mm) SONY ICX825 18 S v GA d 18: 4.1 g star B(λ) [4] B-V [9] B V = 9600 1.00 (1) T - 5777K[7] g s B(λ) C s [7] g s B 5777 (λ)dλ = g s σt 4 = C s (2) g s = 2.17 10 5 σ R ( [4]) m star m s R f R (λ) R m star m s = 2.5log 10 g star BT (λ)f R (λ)dλ g s B5777 (λ)f R (λ)dλ g star B T (λ) ICX825 h c D (35.7mm) t (16.7ms)q(λ) ICX825 [7] Ne star = gbt (λ) (hc/λ) π(d/2)2 ICX825 (λ)dλ (4) S v [V/e ]G A d AD [/V] n = Ne ICX825 S v GA d (5) S v GA d (3) 14

4.2 Tri () Tri() 19 1800 16.7 ms 7.6 fps 137 46643 () 19: Tri () B-V = 0.15( [9]) (1) 8300 (1) Tri() R 2.86(Simbat[8]) (3) g star = 5.49 10 18 (4) Ne=23310 n = 46643 Ne = 23310 S v GA d = 2.00 4.3 Tri () Tri() 20 1800 16.7 ms 7.6 fps 97 18375 () 20: Tri () B-V = 0.019( [9]) (1) 8600 V 4.03(Simbat[8]) (3) g star = 1.69 10 18 Tri() R V (4) Ne=8070 n = 18375 Ne = 8070 S v GA d = 2.28 15

4.4 Lyr () Lyr () 21 1800 16.7 ms 7.6 fps 349 903011 () 21: Lyr () B-V = 0.001( [9]) (1) 9600 R 0.07(Simbat[8]) (3) g star = 4.80 10 17 4 Ne=337982 n = 903011 Ne = 337982 S v GA d = 2.47 4.5 S v GA d Tri Tri Tri Tri R 2.86 (4.03) 0.07 B-V 0.15 0.019 0.001 8300 8600 9600 A5III A1V A0V 46643 18375 903011 23310 8070 337982 S v GA d 2.00 2.28 2.47 S v GA d =2.25 16

5 22 Point Grey GS3-U3-15S5 DLP ( 35.7mm 50mmF 1.4) SONY ICX825( 6.45 m) 100ms 7.6fps 1 9 ms 180010 20 ms 900 FireCaputure(ver2.5) 22: 5.1 S v GA d 1800 FireCaputure(ver2.5) () 6 ms 9 fps 23: 900 2.86 1800 2.86 9dB FireCaputure 900=9dB1800=18dB 17

5.2 4 24 1.5 24 1800 100 ms7.6 fps 24: (4 ) 25 () 25: 18

5.3 3 26 3 26 1800 100 ms7.6 fps 26: (3 ) 27 () 27: 19

5.4 2 28 6 28 1800 100 ms7.6 fps 28: (2 ) 29 () 29: 20

5.5 1 30 14 30 900 100 ms7.6 fps 30: (1 ) 31 900 2.86 1800 31: 21

6 6.1 3000K g f B 3000 (λ) R [6] f R (λ) () m f m s (= 27.29) R g s = 2.17 10 5 (4.1 ) g f B3000 (λ)f R (λ)dλ m f m s = 2.5log 10 (6) g s B5777 (λ)f R (λ)dλ g f Ne ICX424 q ICX424 (λ) DLP ICX424AL [1]h c D (35.7mm) t (16.7ms) gf B 3000 (λ) Ne ICX424 = π(d/2) 2 t ICX424 (λ)dλ (7) (hc/λ) (6)(7) DLP 32: - 22

6.2 n 33: n ICX825 N e S v GA d = 2.25() Ne ICX825 = n (8) 2.25 E pulse (λ) h c q ICX825 (λ) ICX825 E pulse (λ) 3.3.3 Ne ICX825 = Epulse (λ) (hc/λ) ICX825(λ)dλ (9) 23

(9) E pulse (λ)dλ (E pulse (λ) ) 34: DLP q ICX424 (λ) ICX424AL Ne ICX424 = Epulse (λ) (hc/λ) ICX424(λ)dλ (10) 35: - 24

DLP 36: - 36 (ms) 1 1.5 2 3 6 14 4.64.9 3.74.0 3.43.6 2.93.0 2.02.1 1.01.1 DLP 1/60 s(=16.7ms) 4 [10] DLP 14 25

ISAS/JAXA 26

[1] SONY ICX424AL Data Sheet, http://pdf1.alldatasheet.jp/datasheetpdf/view/95421/ SONY/ICX424AL.html(2018.01.24 ). [2] T065,. [3],,,2016, 14 p152154. [4],2003, 4 p55, 8 p132,133. [5],,,,,p96. [6] Bessell, M. S. : Standard Photometric Systems, Annu. Rev. Astron. Astrophys. 43, p. 293-336 (2005). [7] Quantum Efficiency Curve for ICX825 Point Gray, https://www.ptgrey.com/support/downloads/10427 (2018.01.24 ). [8] SIMBAD Astronomical Database. [9] 9. [10],, DLP/EQUULEUS,2018. 27

A Velilog HDL Listing 1: // Copyright 1986 2014 Xilinx, Inc. All Rights Reserved. // // Tool Version : Vivado v. 2 0 1 4. 4 ( win32 ) Build 1071353 Tue Nov 18 1 8 : 0 6 : 2 0 MST 2014 // Date : Tue Oct 24 2 0 : 0 7 : 0 7 2017 // Host : yanagi PC running 32 b i t S e r v i c e Pack 1 ( b u i l d 7601) //Command : g e n e r a t e t a r g e t design 1 wrapper. bd // Design : design 1 wrapper // Purpose : IP block n e t l i s t // t i m e s c a l e 1 ps / 1 ps module design 1 wrapper (DDR addr, DDR ba, DDR cas n, DDR ck n, DDR ck p, DDR cke, DDR cs n, DDR dm, DDR dq, DDR dqs n, DDR dqs p, DDR odt, DDR ras n, DDR reset n, DDR we n, FIXED IO ddr vrn, FIXED IO ddr vrp, FIXED IO mio, FIXED IO ps clk, FIXED IO ps porb, FIXED IO ps srstb, clk, r e s e t, sw0, sw1, sw2, output enable, led, pulse, n o i s e ) ; input c l k ; input r e s e t ; input sw0 ; input sw1 ; input sw2 ; input output enable ; output [ 3 : 0 ] l e d ; output p u l s e ; output n o i s e ; inout [ 1 4 : 0 ] DDR addr ; 28

inout [ 2 : 0 ] DDR ba ; inout DDR cas n ; inout DDR ck n ; inout DDR ck p ; inout DDR cke ; inout DDR cs n ; inout [ 3 : 0 ] DDR dm; inout [ 3 1 : 0 ] DDR dq ; inout [ 3 : 0 ] DDR dqs n ; inout [ 3 : 0 ] DDR dqs p ; inout DDR odt ; inout DDR ras n ; inout DDR reset n ; inout DDR we n ; inout FIXED IO ddr vrn ; inout FIXED IO ddr vrp ; inout [ 5 3 : 0 ] FIXED IO mio ; inout FIXED IO ps clk ; inout FIXED IO ps porb ; inout FIXED IO ps srstb ; wire [ 1 4 : 0 ] DDR addr ; wire [ 2 : 0 ] DDR ba ; wire DDR cas n ; wire DDR ck n ; wire DDR ck p ; wire DDR cke ; wire DDR cs n ; wire [ 3 : 0 ] DDR dm; wire [ 3 1 : 0 ] DDR dq ; wire [ 3 : 0 ] DDR dqs n ; wire [ 3 : 0 ] DDR dqs p ; wire DDR odt ; wire DDR ras n ; wire DDR reset n ; wire DDR we n ; wire FIXED IO ddr vrn ; wire FIXED IO ddr vrp ; wire [ 5 3 : 0 ] FIXED IO mio ; wire FIXED IO ps clk ; wire FIXED IO ps porb ; wire FIXED IO ps srstb ; d e s i g n 1 d e s i g n 1 i (. DDR addr ( DDR addr ),. DDR ba(ddr ba),. DDR cas n ( DDR cas n ),. DDR ck n ( DDR ck n ),. DDR ck p ( DDR ck p ),. DDR cke ( DDR cke ),. DDR cs n ( DDR cs n ),.DDR dm(ddr dm),. DDR dq(ddr dq),. DDR dqs n ( DDR dqs n ),. DDR dqs p ( DDR dqs p ),. DDR odt(ddr odt ),. DDR ras n ( DDR ras n ),. DDR reset n ( DDR reset n ),. DDR we n(ddr we n ), 29

. FIXED IO ddr vrn ( FIXED IO ddr vrn ),. FIXED IO ddr vrp ( FIXED IO ddr vrp ),. FIXED IO mio ( FIXED IO mio ),. FIXED IO ps clk ( FIXED IO ps clk ),. FIXED IO ps porb ( FIXED IO ps porb ),. FIXED IO ps srstb ( FIXED IO ps srstb ) ) ; p u l s e g e n e p u l s e g e n e i ( ) ; endmodule. c l k ( c l k ), //. r e s e t ( r e s e t ),. sw0 ( sw0 ),. sw1 ( sw1 ),. sw2 ( sw2 ),. output enable ( output enable ),. l e d ( l e d ),. p u l s e ( p u l s e ) / /. n o i s e ( n o i s e ) t i m e s c a l e 1 ns / 1 ps ////////////////////////////////////////////////////////////////////////////////// // Company : // Engineer : // // Create Date : 2017/11/21 1 5 : 3 7 : 3 4 // Design Name : // Module Name : p u l s e g e n e // P r o j e c t Name : // Target Devices : // Tool Versions : // D e s c r i p t i o n : // // Dependencies : // // Revision : // Revision 0.01 F i l e Created // Additional Comments : // ////////////////////////////////////////////////////////////////////////////////// module p u l s e g e n e ( input clk, input r e s e t, input sw0, input sw1, input sw2, input output enable, output [ 3 : 0 ] led, output p u l s e ) ; //OUTPUT TIME STATE < CNT STOP < CNT CYCLE parameter CNT STOP = 2 7 d2499 9999 ; / / parameter CNT CYCLE = 27 d2500 0000 ;//200ms parameter OUTPUT TIME STATE1 = 2 7 d124999 ; parameter OUTPUT TIME STATE2 = 27 d187499 ; //1ms //1.5ms 30

parameter OUTPUT TIME STATE3 = 27 d249999 ;//2ms parameter OUTPUT TIME STATE4 = 27 d374999 ;//3ms parameter OUTPUT TIME STATE5 = 27 d749999 ;//6ms parameter OUTPUT TIME STATE6 = 27 d1749999 ;//14ms parameter OUTPUT TIME STATE7 = 2 7 d25000 000 ; parameter STATE0 = 3 b000 ; parameter STATE1 = 3 b001 ; parameter STATE2 = 3 b010 ; parameter STATE3 = 3 b011 ; parameter STATE4 = 3 b100 ; parameter STATE5 = 3 b101 ; parameter STATE6 = 3 b110 ; parameter STATE7 = 3 b111 ; reg [ 2 6 : 0 ] r c n t ; reg r p u l s e ; reg [ 3 : 0 ] now state ; reg [ 3 : 0 ] n e x t s t a t e ; //200ms //STATE1, 2, 3, 4, 5, 6 ( ) always@ ( posedge clk, negedge r e s e t ) i f ( r e s e t output enable ) begin r c n t <= 27 h0000 0000 ; end e l s e i f ( r c n t == CNT CYCLE) begin r c n t <= 27 h0000 0000 ; end e l s e i f ( r c n t == CNT STOP &&(now state == STATE1 now state == STATE2 STATE3 now state == STATE4 now state == STATE5 now state == STATE6) ) r c n t <= r c n t ; end e l s e i f ( now state == STATE0) begin r c n t <= 27 h0000 0000 ; end e l s e begin r c n t <= r c n t +27 d1 ; end // always @( posedge clk, negedge r e s e t ) i f ( r e s e t ) begin now state <= STATE0; end e l s e begin now state <= n e x t s t a t e ; end //STATE0 //STATE1, 2, 3, 4, 5, 6 //STATE7 always @( now state, sw0, sw1, sw2 ) case ( now state ) STATE0 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE1; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE2; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE4; e l s e n e x t s t a t e <= STATE0; STATE1 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE3; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE5; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE0; e l s e n e x t s t a t e <= STATE1; STATE2 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE3; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE6; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE0; 31

e l s e n e x t s t a t e <= STATE2; STATE3 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE7; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE2; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE1; e l s e n e x t s t a t e <= STATE3; STATE4 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE5; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE6; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE0; e l s e n e x t s t a t e <= STATE4; STATE5 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE7; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE4; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE1; e l s e n e x t s t a t e <= STATE5; STATE6 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE7; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE4; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE2; e l s e n e x t s t a t e <= STATE6; STATE7 : i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE6; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE5; e l s e i f ( sw0 && sw1 && sw2 ) n e x t s t a t e <= STATE3; e l s e n e x t s t a t e <= STATE7; d e f a u l t : n e x t s t a t e <= STATE0; endcase // always@ ( posedge c l k ) i f ( now state == STATE0) begin r p u l s e <= 1 b0 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE1 && now state == STATE1 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE2 && now state == STATE2 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE3 && now state == STATE3 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE4 && now state == STATE4 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE5 && now state == STATE5 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE6 && now state == STATE6 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e i f ( r c n t <=OUTPUT TIME STATE7 && now state == STATE7 && output enable ) begin r p u l s e <= 1 b1 ; end e l s e begin r p u l s e <= 1 b0 ; end // a s s i g n l e d [ 2 : 0 ] = now state [ 2 : 0 ] ; a s s i g n l e d [ 3 ] = output enable ; a s s i g n p u l s e = r p u l s e & output enable ; endmodule 32