VLD Kazutoshi Kobayashi

Size: px
Start display at page:

Download "VLD Kazutoshi Kobayashi"

Transcription

1 VLD Kazutoshi Kobayashi

2 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d) ,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape file:///home/users11/kobayasi/refresh/index.html # / 3.,, View Encoding Japanese (Auto-Detect). 1

3 2 2

4 BCD 2,. % cd % mkdir binshifttop, binshifttop,. 5.1 binshiftreg module endmodule verilog/binshiftreg1.v module binshiftreg(out,decimal,clk,rst,ce); output [6:0] out; input [9:0] decimal; input CLK,RST,CE; // input, output endmodule assign function binshiftreg function dectobin d verilog/binshiftreg2.v module binshiftreg(out,decimal,clk,rst,ce); output [6:0] out; input [9:0] decimal; input CLK,RST,CE; wire [3:0] d; // 1 wire. assign d=dectobin(decimal); // function dectobin d function [3:0] dectobin; // [3:0] input [9:0] in; // function if(in[9]) // function if, case dectobin = 9; else if(in[8]) dectobin = 8; else if(in[7]) dectobin = 7; 3

5 // else if(in[0]) dectobin = 0; // else endfunction endmodule count REGA always binshiftreg 5.4 out assign. verilog/binshiftreg.v module binshiftreg(out,decimal,clk,rst,ce); output [6:0] out; input [9:0] decimal; input CLK,RST,CE; wire [3:0] d; reg [1:0] count; reg [6:0] REGA; assign d=dectobin(decimal); function [3:0] dectobin; input [9:0] in; if(in[9]) dectobin = 9; else if(in[8]) dectobin = 8; else if(in[7]) dectobin = 7; else if(in[6]) dectobin = 6; else if(in[5]) dectobin = 5; else if(in[4]) dectobin = 4; else if(in[3]) dectobin = 3; else if(in[2]) dectobin = 2; else if(in[1]) dectobin = 1; else if(in[0]) dectobin = 0; endfunction CLK or negedge RST) begin if(!rst) begin REGA<=0; count<=0; end else if((decimal!= 0) && (count < 2)) begin REGA<=(REGA*10)+d; 4

6 count<=count+1; end else if(ce) begin REGA<=0; count<=0; end end assign out=rega; endmodule (syncro) 2 BCD 7 LED (binled). verilog/other.v: syncro, ledout, bintobcd verilog module syncro(out,in,clk,rst); parameter WIDTH = 1; input [WIDTH-1:0] in; output [WIDTH-1:0] out; input CLK,RST; reg [ WIDTH-1:0] q0,q1,q2; CLK or negedge RST) begin if(!rst) q0<=0; else q0<= in; end CLK or negedge RST) begin if(!rst) q1<=0; else q1<=q0; end CLK or negedge RST) begin if(!rst) q2<=0; else q2<=q1; end assign out=q1&( q2); endmodule module binled(in,ledh,ledl); input [6:0] in; output [6:0] ledh,ledl; wire [3:0] outh,outl; bintobcd I0(.in(in),.outl(outl),.outh(outh)); ledout I1(.in(outl),.out(ledl)); ledout I2(.in(outh),.out(ledh)); endmodule 5

7 module bintobcd(in,outl,outh); input [6:0] in; output [3:0] outl,outh; wire [6:0] tmp1,tmp2,tmp3; assign outh[3] = (in>=80)? 1 : 0; assign tmp1 = (in>=80)? in-80 : in; assign outh[2] = (tmp1>=40)? 1 : 0; assign tmp2 = (tmp1>=40)? tmp1-40 : tmp1; assign outh[1] = (tmp2>=20)? 1 : 0; assign tmp3 = (tmp2>=20)? tmp2-20 : tmp2; assign outh[0] = (tmp3>=10)? 1 : 0; assign outl = (tmp3>=10)? tmp3-10 : tmp3; endmodule define SEG_OUT_0 7 b011_1111 define SEG_OUT_1 7 b000_0110 define SEG_OUT_2 7 b101_1011 define SEG_OUT_3 7 b100_1111 define SEG_OUT_4 7 b110_0110 define SEG_OUT_5 7 b110_1101 define SEG_OUT_6 7 b111_1101 define SEG_OUT_7 7 b010_0111 define SEG_OUT_8 7 b111_1111 define SEG_OUT_9 7 b110_1111 define SEG_OUT_ERR 7 b011_1001 module ledout(out,in); input [3:0] in; output [6:0] out; function [6:0] convert; input [3:0] in ; case (in) 0: convert = SEG_OUT_0; 1: convert = SEG_OUT_1; 2: convert = SEG_OUT_2; 3: convert = SEG_OUT_3; 4: convert = SEG_OUT_4; 5: convert = SEG_OUT_5; 6: convert = SEG_OUT_6; 7: convert = SEG_OUT_7; 8: convert = SEG_OUT_8; 9: convert = SEG_OUT_9; default: convert = SEG_OUT_ERR; // If the above line is omitted, A warning messsage is shown in Synplify Pro endcase endfunction assign out=convert(in); endmodule 5.6 binshifttop verilog/skel/binshifttop.v binshifttop verilog/binshifttop.v binshifttop verilog/binshiftsimgtk.v GTK 6

8 3.1: GUI 3.1.1,, X Window GUI., GUI, verilog PLI(Programming Language Interface) C. GUI, verilog. gtksim.sh Verilog. binshifttop, UNIX. % gtksim.sh binshiftsimgtk.v binshifttop.v binshiftreg.v other.v, GUI( 3.1). Q,,,., binshifttop 10 CE RST. 7 LED LED, overflow Quartus binshifttop 1. Quartus II. (*unresolved reference*??), *unresolved reference*??, Yes., No, File New Project Wizard. % cd /binshifttop % quartus 2. Project Wizard (*unresolved reference*??), Next. Project Wizard, File Project Wizard. 7

9 3.2: Select Family 3. Working Directory,./, What is the name of project, binshifttop, What is the name of top-level entry, binshifttop Next. 4. binshifttop.v, binshiftreg.v, other.v File Name, Finish., Finish, Project Add/Remove Files in Project,. 5. Select Family, Cyclone. 6. binshifttop.tcl 1, binshifttop/. 7. Tools->Tcl Scripts, Project binshifttop.tcl, Run., FPGA. 8. (Start Compilation) enzan Verilog-HDL verilog/enzan part1.v module verilog/enzan part2.v + verilog/enzan part3.v = verilog/enzan part4.v out verilog/enzan.v enzan.v 5.8 enzantop verilog/skel/enzantop.v enzantop verilog/enzantop.v enzantop verilog/enzansimgtk.v GTK verilog/binshifttop.tcl 8

10 3.1: enzantop push[9:0] CE 23 RST 240 CLK 28 plus 5 equal 17 ledl[6:0] ledh[6:0] % gtksim.sh enzansimgtk.v enzantop.v enzan.v other.v verilog/inverse.v module inverse; reg [4:0] A,B,C;// 5 initial begin A=3;B=-2; $display("a=%d,%b, B=%d,%b",A,A,B,B); C=8-5; // 3 #100 C=5-8; // -3 #100 C=-10-8; // -18( ) #100 C=10+10; // 20( ) end initial $monitor("%d: ",$time,"c=%d, -%d, %b",c, C+5 b00001,c); // C+1, 32. endmodule verilog/inversesigned.v(signed ) module inverse; reg signed [4:0] A,B,C;// 5 initial begin A=3;B=-2; 9

11 $display("a=%d,%b, B=%d,%b",A,A,B,B); C=8-5; // 3 #100 C=5-8; // -3 #100 C=-10-8; // -18( ) #100 C=10+10; // 20( ) end initial $monitor("%d: ",$time,"c=%d, %b",c,c); // C endmodule verilog/skel/calc.v verilog/calc.v verilog/calcsigned.v (signed ) verilog/calctop.v (calctop.v) verilog/calcsimgtk.v GTK : calctop push[9:0] CE 23 RST 240 CLK 28 plus 5 minus 12 equal 17 ledl[6:0] ledh[6:0] ledsign[6:0] overflow % gtksim.sh calcsimgtk.v calctop.v calcsigned.v other.v 10

12 4 FPGA 4.1, Power Medusa MU200-EC6S.. FPGA, Altera Cyclone. Cyclone FPGA,., SUN,,, USB,. 4.2, LED( ) 4.1, 4.2.,, 4.2, LED 7 LED FPGA. 1 LED. LED FPGA., 4.3., SW26(1 ) , 0. 11

13 4.1: ( 1) 28 CLK 7 A 7 E 240 RST 133 A0 87 E0 134 A1 88 E1 2 A0 135 A2 93 E2 3 A1 136 A3 94 E3 4 A2 137 A4 95 E4 5 A3 138 A5 98 E5 6 A4 139 A6 99 E6 7 B0 140 A7 100 E7 8 B1 7 B 7 F 11 B2 123 B0 77 F0 12 B3 124 B1 78 F1 13 B4 125 B2 79 F2 14 C0 126 B3 82 F3 15 C1 127 B4 83 F4 16 C2 128 B5 84 F5 17 C3 131 B6 85 F6 18 C4 132 B7 86 F7 12

14 4.2: ( 2) 7 C 7 G 19 D0 115 C0 65 G0 20 D1 116 C1 66 G1 21 D2 117 C2 67 G2 23 D3 118 C3 68 G3 41 D4 119 C4 73 G4 LED 120 C5 74 G5 47 LED C6 75 G6 48 LED C7 76 G7 49 LED-2 7 D 7 H 50 LED D0 57 H0 53 LED D1 58 H1 54 LED D2 59 H2 55 LED D3 60 H3 56 LED D4 61 H4 108 D5 62 H5 113 D6 63 H6 114 D7 64 H7 4.3: MHz 20MHz 10MHz 5MHz 1.25MHz 3125kHz 78.1kHz 19.5kHz 8 9 A B C D E F 9.8kHz 4.9kHz 2.44kHz 1.22kHz 610Hz 305Hz 1.0Hz 13

15 7 LED A B C D E F G H ABC ON EP1C6Q240C8 SW SW FPGA LED RST 4.1: FPGA 0 ( ) 4.2: (.) 14

16 A7 A2 A3 A1 A6 A5 A4 A0 4.3: 7 LED A0 A1 A2 A3 A B0 B1 B2 B3 B = C0 C1 C2 C3 C FPGA 0 CE D0 D1 D2 D3 D : 15

17 5 5.1,, GUI PLI,.,.,, PLI, kobayasi/refresh. 5.2 Verilog, signed,. Verilg-XL, NC-verilog Cadence : Solaris, HP-UX, Windows VCS Synopsys : Solaris, HP-UX, Windows, Linux modelsim Mentor Graphics : Solaris, HP-UX, Windows, Linux GPL Cver Antrim Design Systems PLI,,., GPL Cver, GPL Verilog Simulator. Verilog 2001,.,, Windows Cygwin GPL Cver, PLI., Solaris, Linux Verilog-XL PLI. 5.3 Cver on Cygwin Cygwin 1. cygwin. Install or update now!. 2. Select Packages, Devel, gcc make Skip, Install

18 5.3.2 GPL Cver 1. GPL Cver 1,gplcver-2.11a.src.tar.bz cygwin. $ tar xfj gplcver-2.11a.src.tar.bz2 3. gplcver-2.11a.src/src, make. $ cd gplcver-2.11a.src/src $ make -f makefile.cygwin 4. objs, PLI cver. $ cd../objs $ make -f makefile.dll dll exe 5., makefile,. 3 $ cd../tests_and_examples/examples.vpi/ # makefile.cygwin, $ make -f makefile.cygwin dll run #. tmp_channel GTK, Cygwin GTK. 1. 4, Gtk+ Win32 Development Environment., C:\cygwin\GTK. 2., Makefile 5, helloworld.c cver/makefile.cygwin cver/makefile.tex 6 cver/helloworld.c 17

19 3. cygwin make # Makefile, helloworld.c $ make $./helloworld.exe # windows, hello world PLI 1. gtkcalc cver.tgz 7 2. gplcver-2.11a.src.tar.bz #, gplcver-2.11a.src.tar.bz2 $ tar xfz gtkcalc_cver.tgz 4., $ cd gtkcalc $./gtksim.sh 5., $ make clean $ make dll $./gtksim.sh 5.4 Verilog-XL on Solaris GTK+.gtkcalc/gtklib.tgz,.,, 7 cver/gtkcalc cver.tgz 18

20 5.4.2 gtkcalc/solaris/libvpi.so gtkcalc/solaris/gtksim.sh, CALCLIB= GTKLIB= CALCLIB=, libvpi.so, GTKLIB= GTK. gtksim.sh, chmod +x gtksim.sh. 5.5 Verilog-XL on Linux Linux,, GTK+,, GTK+., libvpi.so, gtkcalc/linux/libvpi.so gtkcalc/linux/gtksim.sh, CALCLIB= CALCLIB=, libvpi.so. gtksim.sh, chmod +x gtksim.sh. 5.6 PLI PLI gtkcalc/gtkcalc.tgz. 19

21 6 6.1 FPGA, Quartus II. MU200-EC6S Cyclone, Quartus II Web Edition, Verilog HDL., GPL Cver, FPGA, MU200-EC6S,,. 6.2 FPGA. /, KITE, FPGA., Power Medusa MU200-AP, Verilog-HDL 3., SFL, FPGA,., Celoxica DK-II, C FPGA. 20

, FPGA Verilog-HDL

, FPGA Verilog-HDL Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2007 12 19-20 1 1 1.1...................................... 1 1.2,................................. 1 2 2 2.1 FPGA......................... 2 2.2 Verilog-HDL.............................

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

電卓の設計 1

電卓の設計 1 電卓の設計 1 FPGA Express と MAXPLUS2 に よる FPGA 設計 FPGA EXPRESS RTL circuit.edf circuit.acf RTL MAXPLUS2 FPGA circuit.acf circuit.sof, ttf, pof SRAM 2 どうして電卓なの? その場で 10 キーを使って動かせる プロセッサだと プログラムを考えたり メモリとのインタフェースが必要

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10 2.5. Verilog 19 Z= X + Y - Z A+B LD 0 0001 0000 ADD 1 0110 0001 SUB 2 0111 0010 ST 2 1000 0010 (X

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi ALTIMA Company, MACNICA, Inc. HDL Designer Series Ver. 2016.2 2017 7 Rev.1 ELSENA,Inc. 1. 2. 3....3 HDL Designer Series...3...4 3-1. 3-2. SupportNet... 4... 5 4....6 4-1. 4-2.... 6 GUI... 6 5. HDL Designer

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

LSI LSI

LSI LSI EDA EDA Electric Design Automation LSI LSI FPGA Field Programmable Gate Array 2 1 1 2 3 4 Verilog HDL FPGA 1 2 2 2 5 Verilog HDL EDA 2 10 BCD: Binary Coded Decimal 3 1 BCD 2 2 1 1 LSI 2 Verilog HDL 3 EDA

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

Microsoft PowerPoint - FPGA

Microsoft PowerPoint - FPGA PLD と FPGA VLD 講習会 京都大学小林和淑 1 PLD FPGA って何 PLD: Programmable Logic Device プログラム可能な論理素子 FPGA: Field Programmable Gate Array 野外でプログラム可能な門の隊列? Field: 設計現場 Gate Array: 論理ゲートをアレイ上に敷き詰めたLSI MPGA: Mask Programmable

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx 2019 年 4 月 26 日ハードウエア設計論 :3 ハードウエアにおける設計表現 ハードウエア設計記述言語 VerilogHDL ~ 種々の記述 ~ ALU の実装とタイミングに関して always @(A or B or C) Ubuntu を起動し verilog が実行できる状態にしておいてください 79 演習 4: 簡単な演算器 1 入力 A:8 ビット 入力 B:8 ビット 出力 O:8

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

MINI2440マニュアル

MINI2440マニュアル Open-JTAG LPC2388 + GCC + Eclipse http://www.csun.co.jp info@csun.co.jp Ver1.4 2009/7/31 LPC2388 OpenJTAG copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 ...3 ARM...4...5...6 4.2 OpenJTAG...6 4.2...8

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

MINI2440マニュアル

MINI2440マニュアル ARM Cortex-M3 STM32F103 (GCC TOPPERS/ASP ) http://www.nissin-tech.com info@nissin-tech.com 2009/10/15 copyright@2009 1 STM32F103...3 STM32...4...8 3.1...8 3.2...9 3.3...13 KEIL...19 4.1 KEIL...19 4.2...22

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

TOPLON PRIO操作手順

TOPLON PRIO操作手順 TOPLON PRIO 2004/05/24 I/O LON WAGO TOPLON PRIO 1. 1) PCC-10 S/W 2) PC 3) PCC-10 4) Windows Lon WorksR Plug n Play Apply OK 5) Visio LonMaker LonPoint 6) TOPLON PRIO 2. IO-PRO SYM TOPLON-PRIO SNVT NVI

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

untitled

untitled Visual Basic.NET 1 ... P.3 Visual Studio.NET... P.4 2-1 Visual Studio.NET... P.4 2-2... P.5 2-3... P.6 2-4 VS.NET(VB.NET)... P.9 2-5.NET... P.9 2-6 MSDN... P.11 Visual Basic.NET... P.12 3-1 Visual Basic.NET...

More information

PowerGres on Linux HAマニュアル

PowerGres on Linux HAマニュアル PowerGres R on Linux HA 2006 11 SteelEye LifeKeeper SteelEye Technology, Inc. Linux Linus Torvalds TM R 1 2 2 PowerGres on Linux HA 2 2.1 PowerGres on Linux HA.................................. 2 2.2..............................................

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

[user@linux tmp]$ tar xzvf utvpn-src-unix-v100-7092-beta-2010.06.25.tar.gz [user@linux tmp]$ cd utvpn-unix-v100-7092-beta [user@linux utvpn-unix-v100-7092-beta]$ ls License-ja.txt configure makefiles src

More information

Microsoft PowerPoint - 01-VerilogSetup-2018.pptx

Microsoft PowerPoint - 01-VerilogSetup-2018.pptx 2018 年 4 月 13 日ハードウエア設計論 :2 ハードウエアにおける設計表現 ハードウエア設計記述言語 VerilogHDL ~ 状態遷移と順序機械 ~ TA2 名 : 古賀 杉山が担当します Ubuntu を起動し verilog が実行できる状態にしておいてください http://www.mos.t.u-tokyo.ac.jp/~ikeda/hwdesign/ 38 VerilogHDL

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

Quartus IIプロジェクトのマネージング

Quartus IIプロジェクトのマネージング 4. Quartus II QII52012-7.2.0 FPGA 1 2 FPGA FPGA Quartus II Quartus II 1 1 1 1 Quartus II Quartus II Quartus II Quartus II 4 1 Altera Corporation 4 1 Quartus II Volume 2 4 1. Quartus II Quartus II Project

More information

20 H8/3069LAN H. Fukura

20 H8/3069LAN H. Fukura 20 H8/3069LAN 1.1 2009 2 9 H. Fukura 1 1 2 Cygwin 2 2.1................... 3 2.2................. 3 3 h8300-hms 13 3.1................... 14 3.2......... 14 3.3............. 35 3.4.............. 38 i

More information

Windows Cygwin Mac *1 Emacs Ruby ( ) 1 Cygwin Bash Cygwin Windows Cygwin Cygwin Mac 1 Mac 1.2 *2 ls *3 *1 OS Linux *2 *3 Enter ( ) 2

Windows Cygwin Mac *1 Emacs Ruby ( ) 1 Cygwin Bash Cygwin Windows Cygwin Cygwin Mac 1 Mac 1.2 *2 ls *3 *1 OS Linux *2 *3 Enter ( ) 2 September 2016 1 Windows Cygwin Mac *1 Emacs Ruby 1 1.1 ( ) 1 Cygwin Bash Cygwin Windows Cygwin Cygwin Mac 1 Mac 1.2 *2 ls *3 *1 OS Linux *2 *3 Enter ( ) 2 ~/16:00:20> ls 2 2 ls ls -a ~/16:00:20> ls -a

More information

. ディジタル回路設計を始める前に 2

. ディジタル回路設計を始める前に 2 論理回路設計の基礎と演習 (PowerMedusa MU2-EC6S を使った教材 ) . ディジタル回路設計を始める前に 2 . ディジタルとは ひとことで言うなら アナログー連続的な動き ディジタルー断続的な動き 再現が難しい 再現しやすい 例.) アナログー坂道ディジタルー階段最初に居た位置に正確に戻るには階段のほうが再現しやすい! 3 .2 ディジタルにするメリット 再現性が高い ノイズに強い

More information

Report Template

Report Template f 1 3... 3 PC... 3... 4 12... 12 Web... 12 E-mail FAX... 17... 18 Service Pack 19 UPDATE... 19... 20 web... 21 Version 24 25... 25... 26... 27... 28 29 2 isplever7.1 for Windows isplever7.1 PC isplever7.1

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

EDSF2006_ PDF

EDSF2006_ PDF /SystemC SystemC FPFA 1 Techno Repo LSI / 2 Techno Repo 3 Techno Repo 4 Techno Repo DesignPrototyper 5 Techno Repo 6 Techno Repo 7 Techno Repo 8 Techno Repo 9 Techno Repo C/C++ C/C++/SystemC IP (Verilog-HDL/

More information

Quartus IIネットリスト・ビューワによるデザインの解析

Quartus IIネットリスト・ビューワによるデザインの解析 12. Quartus II QII51013-6.0.0 FPGA Quartus II RTL Viewer State Machine Viewer Technology Map Viewer : Quartus II Quartus II 12 46 State Machine Viewer HDL : Quartus II RTL Viewer State Machine Viewer Technology

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

DCL intro Manual for Ubuntu11.10

DCL intro Manual for Ubuntu11.10 ubnutu 11.10 2011/Nov/23 i 1 1 2 ubuntu 2 3 3 3.1........................................... 3 3.2 gedit........................................... 3 3.3........................................ 4 4 sun

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

unix.dvi

unix.dvi 1 UNIX 1999 4 27 1 UNIX? 2 1.1 Windows/Macintosh? : : : : : : : : : : : : : : : : : : : : : : : : 2 1.2 UNIX OS : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : 2 1.3 : : : : : : : : : : : :

More information

UNIX

UNIX 2000 1 UNIX 2000 4 14 1 UNIX? 2 1.1 UNIX OS....................................... 2 1.2.................................................... 2 1.3 UNIX...................................... 2 1.4 Windows

More information

Ver.1 1/17/2003 2

Ver.1 1/17/2003 2 Ver.1 1/17/2003 1 Ver.1 1/17/2003 2 Ver.1 1/17/2003 3 Ver.1 1/17/2003 4 Ver.1 1/17/2003 5 Ver.1 1/17/2003 6 Ver.1 1/17/2003 MALTAB M GUI figure >> guide GUI GUI OK 7 Ver.1 1/17/2003 8 Ver.1 1/17/2003 Callback

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

Microsoft PowerPoint - vlsi4.ppt [互換モード]

Microsoft PowerPoint - vlsi4.ppt [互換モード] (4) 工学部講義 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻 東京大学工学部電子情報工学科 / 電気工学科 はじめに CPU の設計 (3) はじめに 本講義の目的 の基本を学ぶ : 機能 VLSI 対象者 : 工学部 4 年生以上 担当者 坂井修一 プロセッサ VLSI 池田誠 アルゴリズム VLSI 時間 場所 水曜日 8:30-10:15 工学部 2 号館 243 前提となる知識

More information

WinDriver PCI Quick Start Guide

WinDriver PCI Quick Start Guide WinDriver PCI/PCI Express/PCMCIA 5! WinDriver (1) DriverWizard (2) DriverWizard WinDriver (1) Windows 98/Me/2000/XP/Server 2003/Vista Windows CE.NET Windows Embedded CE v6.00 Windows Mobile 5.0/6.0 Linux

More information

1 I EViews View Proc Freeze

1 I EViews View Proc Freeze EViews 2017 9 6 1 I EViews 4 1 5 2 10 3 13 4 16 4.1 View.......................................... 17 4.2 Proc.......................................... 22 4.3 Freeze & Name....................................

More information

Revision

Revision Revision 0 Lattice Mico32 222-8561 1-6-3 1 045-470-9841 FAX 045-470-9844 ... 1... 1... 1 LatticeMico32... 2 Mico32... 3... 3... 4 Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)...

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

help gem gem gem my help

help gem gem gem my help hikiutils 1234 2017 3 1 1 6 1.0.1 help gem................... 7 gem.................................... 7 gem................................... 7 my help.................................. 7 my help......................

More information

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir 13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software 37.1 37.1 Nspire Nspire Nspire 37.1: Student Software 13 2 13 Student Software esc

More information

WinPSKユーザーズガイド

WinPSKユーザーズガイド 8 ae4jy@mindspring.com - 1 - - 2 - 5 5.. 5 5 5.. 6 6 1 1 1 1 1 1 1 1-3 - Status Bar 36-4 - Peter Martinez, WinPSK MHz Pentium Windows95,98 NT4.0 DX VGA640x480 Help MB RAM CPU WinPSK CPU CPU Too Slow CPU

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 POCO の 1 サイクルマイクロアーキテクチャ POCO は 作りながら学ぶコンピュータアーキテクチャ ( 倍風館 ) で使っている教育用の 16 ビット RISC である www.am.ics.keio.ac.jp/parthenon/pocobook/ も参照のこと POCO の構成 1 + + ext func[2:0] 2:0 THB ADD 00 01 10 comsel com S A

More information

エクセルソフト株式会社 WinDriver PCI 5! WinDriver 1. DriverWizard 2. DriverWizard WinDriver 1. Windows 98/Me NT/2000/XP Windows CE/CE.NET Windows Server 2003 Lin

エクセルソフト株式会社 WinDriver PCI 5! WinDriver 1. DriverWizard 2. DriverWizard WinDriver 1. Windows 98/Me NT/2000/XP Windows CE/CE.NET Windows Server 2003 Lin 5! WinDriver 1. DriverWizard 2. DriverWizard WinDriver 1. Windows 98/Me NT/2000/XP Windows CE/CE.NET Windows Server 2003 Linux Solaris VxWorks Web http://www.xlsoft.com/jp/products/windriver/ 2. WinDriver

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

DrivExpress Installer Package

DrivExpress Installer Package DrivExpress Installation Guide Doc No: MOUTBD0673 Revision: D Date: Jan. 31. 2014 2012-2014 MACNICA AMERICAS, Inc. MACNICA, Inc. All rights reserved. 1. This document contains information that is proprietary

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

FPGAによる24時間時計回路

FPGAによる24時間時計回路 の設計 通信処理ネットワーク研究室 10ec062 志村貴大 1. まえがき今回 24 時間時計回路の設計を行った理由は FPGA を用いた論理回路設計の基礎を学ぶにあたり ハード及びソフト双方の基本技術を一度に習得できる題材であると推測したためである 24 時間時計を構成するモジュールの設計を終えた今 その推測は正しかったものと自負している 本レポートは 復習を兼ねた制作記録としてだけではなく 自分と同じ回路設計初心者が学習の参考にできるものにしたいと考えている

More information

syspro-0405.ppt

syspro-0405.ppt 3 4, 5 1 UNIX csh 2.1 bash X Window 2 grep l POSIX * more POSIX 3 UNIX. 4 first.sh #!bin/sh #first.sh #This file looks through all the files in the current #directory for the string yamada, and then prints

More information

LSI LSI 2

LSI LSI 2 LSI LSI 2 P=CV 2 F 3 4 5 EDA Electric Design Automation) LSI CAD Computer Aided Design) Verilog Verify Logic VHDL VHSIC Description Language) SystemC C SFL Structured Functional description Language) NTT

More information

TH-42/47/55LF6J,TH-42/47/55LF60J

TH-42/47/55LF6J,TH-42/47/55LF60J - + - + 1 2 M3 HDMI HDMI AV OUT HDMI AV OUT DVD DVD 19 3 1 18 4 2 11 12 13 14 15 16 17 18 19 10 DVD VIDEO OUT VCR AUDIO OUT L R RCA-BNC RCA-BNC Y PB PR OUT RGB OUT L R AUDIO OUT RGB DVD DVI-D PC DVI 5

More information

Microsoft Word - HW06K doc

Microsoft Word - HW06K doc 完了した CP:1~19( 合計 19 個 ) 未達成の CP:20 [ 要旨 目的 ] CPU の製作を行う CPU の製作を通じて ハードウェア設計の流れを理解する CPU の構造について 理解を深める CPU 製作第 3 回の実験では 最終的なCPUの完成を目指す [ 原理 理論 ] まずは CPU の構造設計から行う 全体の構成は次のようになる 下の図では モニター回路は含まれない chattering

More information

概要 Windows Embedded Standard 7 は Windows Embedded Standard ポートフォリオにおける次世代プラットフォームで Windows 7 オペレーティングシステムのパワー 使いやすさ 信頼性を備えており カスタマイズ可能なコンポーネント化された形で提供

概要 Windows Embedded Standard 7 は Windows Embedded Standard ポートフォリオにおける次世代プラットフォームで Windows 7 オペレーティングシステムのパワー 使いやすさ 信頼性を備えており カスタマイズ可能なコンポーネント化された形で提供 Windows Embedded Standard 7 の 使用によるアプリケーションの 開発 展開 デバッグ 目次 概要... 2 アプリケーション... 3 目的... 3 コードと環境... 3 Windows Embedded Standard 7 のイメージの準備... 6 HelloWorld アプリケーションを静的に分析... 6 1. 依存関係を解決します... 9 2. ターゲット機器の共有フォルダーを作成します...

More information

Microsoft PowerPoint - slide

Microsoft PowerPoint - slide ディジタル回路設計の基礎 京都大学情報学研究科小林和淑 kobayasi@i.kyoto-u.ac.jp 内容 単相クロック完全同期回路 構成要素 D フリップフロップ 同期回路の性能 ハードウエア設計手法 論理設計手法の歴史 ハードウエア記述言語 RTL 設計 LSI の設計フロー セルベース設計とゲートアレイ PLD と FPGA 2 単相クロック完全同期回路 同期回路とは? 時間方向を同期パルス

More information

FrontSystem FrontSystem

FrontSystem FrontSystem My PAGE View http://www.page-view.jp/ FrontSystem FrontSystem FrontSystemMy PAGE View or FrontSystem Web FrontSystem JPG FrontSystem My PAGE View FrontSystem FrontSystem Web FrontSystem Web 3. 1050 Pro

More information

Red Hat Enterprise Linux 6 Portable SUSE Linux Enterprise Server 9 Portable SUSE Linux Enterprise Server 10 Portable SUSE Linux Enterprise Server 11 P

Red Hat Enterprise Linux 6 Portable SUSE Linux Enterprise Server 9 Portable SUSE Linux Enterprise Server 10 Portable SUSE Linux Enterprise Server 11 P Dynamic System Analysis (DSA) を使用した稼動システムのインベントリー情報収集について 本文 IBM Dynamic System Analysis (DSA) は サーバーのインベントリ情報を収集し ファイル出力することが可能な診断ツールです 稼動システムのインベントリー情報を収集することで 障害時の問題判別を円滑に実施することができます 以下の IBM の Web サイトから入手することが可能です

More information

# mv httpd tar.gz /usr/local/src /usr/local/src # tar zxvf httpd tar.gz make #./configure # make # make install Apache # /usr/local/apac

# mv httpd tar.gz /usr/local/src /usr/local/src # tar zxvf httpd tar.gz make #./configure # make # make install Apache # /usr/local/apac LAMP 2007 10 29 1 LAMP LAMP Web L:Linux( ) A:Apache(Web ) M:MySQL( ) P:PHP(Hypertext Preprocessor) OS Windows WAMP Mac OS MAMP Vine Linux OS root yum Red Hat Linux 2 Apache Apache http://httpd.apache.org/download.cgi

More information

NEEDS Yahoo! Finance Yahoo! NEEDS MT EDINET XBRL Magnetic Tape NEEDS MT Mac OS X Server, Linux, Windows Operating System: OS MySQL Web Apache MySQL PHP Web ODBC MT Web ODBC LAMP ODBC NEEDS MT PHP: Hypertext

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

1 (1) vs. (2) (2) (a)(c) (a) (b) (c) 31 2 (a) (b) (c) LENCHAR

1 (1) vs. (2) (2) (a)(c) (a) (b) (c) 31 2 (a) (b) (c) LENCHAR () 601 1 () 265 OK 36.11.16 20 604 266 601 30.4.5 (1) 91621 3037 (2) 20-12.2 20-13 (3) ex. 2540-64 - LENCHAR 1 (1) vs. (2) (2) 605 50.2.13 41.4.27 10 10 40.3.17 (a)(c) 2 1 10 (a) (b) (c) 31 2 (a) (b) (c)

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

140 120 100 80 60 40 20 0 115 107 102 99 95 97 95 97 98 100 64 72 37 60 50 53 50 36 32 18 H18 H19 H20 H21 H22 H23 H24 H25 H26 H27 1 100 () 80 60 40 20 0 1 19 16 10 11 6 8 9 5 10 35 76 83 73 68 46 44 H11

More information

Cleaner XL 1.5 クイックインストールガイド

Cleaner XL 1.5 クイックインストールガイド Autodesk Cleaner XL 1.5 Contents Cleaner XL 1.5 2 1. Cleaner XL 3 2. Cleaner XL 9 3. Cleaner XL 12 4. Cleaner XL 16 5. 32 2 1. Cleaner XL 1. Cleaner XL Cleaner XL Administrators Cleaner XL Windows Media

More information

Microsoft Word - ChoreonoidStartUpGuide.docx

Microsoft Word - ChoreonoidStartUpGuide.docx Choreonoid 1 ... 4... 4... 4... 4... 4... 5... 5 Choreonoid... 6 Choreonoid... 6 Choreonoid... 6 Choreonid... 8 Choreonoid... 9 Choreonoid Windows... 9...9...13 Choreonoid (Linux )... 21 Ubuntu Linux...21

More information

Report Template

Report Template 日本語マニュアル 第 21 章 シミュレーション ユーザーガイド ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 疑義が生じた場合は ラティスセミコンダクター正規代理店の技術サポート担当にお問い合わせ頂くか

More information

slice00_install.dvi

slice00_install.dvi Slice Installation manual SPring-8 1 Slice 1 1.1 Windows... 1 1.1.1 Cygwin... 1 1.2 MacOSX... 10 1.2.1 Xcode... 10 2 Slice 14 2.1 Slice... 14 1 1 Slice Slice Winsows UNIX (Liunx, FreeBSD, MacOSX ) Slice

More information

WinDriver を使用して、簡単にデバイス ドライバを作成

WinDriver を使用して、簡単にデバイス ドライバを作成 WinDriver Jungo WinDriver OS 1 2 OS WinDriver OS Windows Linux Solaris VxWorks OS WinDriver ( ) Web http://www.xlsoft.com/jp/products/downloa d/ WinDriver Ring-0 API I/O PCI/ISA USB 1 WinDriver 1. WinDriver

More information

untitled

untitled II yacc 005 : 1, 1 1 1 %{ int lineno=0; 3 int wordno=0; 4 int charno=0; 5 6 %} 7 8 %% 9 [ \t]+ { charno+=strlen(yytext); } 10 "\n" { lineno++; charno++; } 11 [^ \t\n]+ { wordno++; charno+=strlen(yytext);}

More information

Linux XScreenSaver T020074

Linux XScreenSaver T020074 Linux XScreenSaver T020074 Linux XScreenSaver XScreenSaver Linux Linux Linux X Window System X Window System Xlib XScreenSaver X Window System Xlib XScreenSaver Xlib vroot.h Xlib XScreenSaver Linux Linux

More information