スライド 1

Similar documents
スライド 1

ソフトウェア基礎技術研修

スライド 1

スライド 1

スライド 1

Microsoft PowerPoint - 7.Arithmetic.ppt

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

スライド 1

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

HW-Slides-04.ppt

計算機アーキテクチャ

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

スライド 1

Microsoft PowerPoint - 3.2組み合わせ回路BL.pptx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

Microsoft PowerPoint - Chap2 [Compatibility Mode]

Microsoft Word - HW06K doc

スライド 1

ソフトウェア基礎技術研修

スライド 1

Microsoft PowerPoint - 11Web.pptx

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

コンピュータ工学Ⅰ

Microsoft PowerPoint - Chap3 [Compatibility Mode]

スライド 1

コンピュータ工学Ⅰ

計算機アーキテクチャ

Microsoft PowerPoint - 3.3タイミング制御.pptx

このスライドは以下の URL からダウンロード可能です 2

Microsoft PowerPoint - Sol7 [Compatibility Mode]

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

一般電気工学第二

VelilogHDL 回路を「言語」で記述する

Microsoft PowerPoint - Chap4 [Compatibility Mode]

スライド 1

ソフトウェア基礎技術研修

スライド 1

PowerPoint プレゼンテーション

Microsoft PowerPoint - ProcML-12-3.ppt

Microsoft PowerPoint - 01-VerilogSetup-2019.pptx

< B8CDD8AB B83685D>

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション

Microsoft PowerPoint - ch1.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt

スライド 1

Microsoft PowerPoint - Chap5 [Compatibility Mode]

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

PowerPoint プレゼンテーション

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 0-オリエンテーション.doc

ex04_2012.ppt

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D>

スライド 1

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

スライド 1

スライド 1

スライド 1

Microsoft PowerPoint - NxLecture ppt [互換モード]

目次 1. はじめに 1 2. マルチALUプロセッサ MAP MAP の構成 MAP 命令セットアーキテクチャ 並列 連鎖判定のアルゴリズムについて 5 3. Booth 乗算のアルゴリズム 次 Booth アルゴリズム 次 Bo

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits01.pptx

MIPSのマイクロアーキテクチャ

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

Microsoft PowerPoint pptx

<91E63589F161>

6. パイプライン制御

1 はじめに このアプリケーションは 計算機ハードウェア論 のアセンブリ言語 ( 超簡単命令セット ) の理解を助けるために製作されました 便宜的に機能を追加 削除した箇所があるため このアプリケーション上での動き方が実際のCPUでの動き方と異なる場合があることに留意してください このアプリケーショ

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint ppt

コンピュータの仕組み(1)ハードウェア

( )

計算機ハードウエア

PowerPoint プレゼンテーション

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?


Microsoft PowerPoint - 4回 [互換モード]

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

VLSI工学

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

Microsoft PowerPoint ppt

スライド 1

スライド 1

Microsoft PowerPoint - LogicCircuits11note.ppt [互換モード]

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

Microsoft PowerPoint - Lec pptx

主記憶の使われ方 システム領域 SP スタックポインタ システム用 スタック用 プログラム起動時に OS によって確 保される (SP が決められる ) プログラム用 メインルーチン プログラム領域 命令コードの列定数 変数用領域サブルーチン命令コードの列 先頭番地は リンク時に OS によって決め

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

プログラミング実習I

Microsoft PowerPoint - 11.ppt

Microsoft PowerPoint LC_7.ppt

フリップフロップ

Microsoft PowerPoint - 1回 [互換モード]

スライド 1

MemoirsoftheFacultyofScience KochiUniversity(InformationScience) Vol.26(2005),No.2 冗長 2 進加算器と乗算器の性能評価 宮原克典横山真登國信茂郎 高知大学理学部数理情報科学科 Abstract 近年の集積回路の高集積

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Transcription:

東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/

組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i = 1, 2,, m ある時点での出力が, その時点の入力のみで決まる ( 記憶を持たない ) 回路 フィードバックが存在しない ( 入力 出力の方向にだけゲートが接続されている ) 原理的には,n 入力の論理関数が m 個並んでいるものだと考えればよい 2

レジスタ選択復習 : MIPS の構造 PC 次 PC 計算 命令デコーダ mux 32x32 ビットレジスタ メモリ mux 制御回路 演算選択 32 ビット ALU アドレス (32 ビット ) データ (8, 16, 32 ビット ) 色つきの部分が組合せ回路 3

組合せ論理回路の構成方法 原理上は, 必ず積和形回路で表すことができる, しかし n が大きい場合, 簡単化の計算に膨大なコストがかかる それが最適とは限らない 算術論理演算のように入出力関係の規則性が高い場合は, その規則性に注目して回路を組み立てる方がよい 複数の回路を接続するための部品 2 進デコーダ, マルチプレクサ 演算回路 算術演算, 論理演算 複数回路の接続例 ALU 4

(2 進 ) デコーダ x 1 x 0 2 進デコーダ en y 3 y 2 y 1 y 0 en = 0 のときは全出力が 0 en = 1 のときは, 入力を 2 進数 k と見なして, 出力 y k を 1, 他を 0 とする例 : x 1 = 1, x 0 = 0 のとき, 入力は 2 進数で 2 を表すので,y 2 のみが 1 とな る エンコード : 一般に, 注目している量に適当な数値 ( 符号 ) を与えること デコード : エンコードの逆 この例では, 何番目の信号線か? を 2 進数として符号化している en は enable の略で, 活性化信号などと呼ばれる 5

デコーダの真理値表と回路図 各 y i について真理値表を書くと,1 行だけ出力が 1 になるような表となる x 0 x 1 x 2 x n-1 en x 2 x 1 x 0 y 7 y 6 y 1 y 0 0 0 0 0000 0001 0 0 1 0000 0010 0 1 0 0000 0100 0 1 1 0000 1000 1 0 0 0001 0000 1 0 1 0010 0000 1 1 0 0100 0000 1 1 1 1000 0000 y 0 y 1 y 2 y 2 n -1 6

s = i なら a i を選ぶ マルチプレクサ ( セレクタ ) 2 入力 M U X 2 s mux2 0 0 0 0 選択信号 s 0 0 1 0 0 1 0 0 4 入力 a 2 a 3 M U X 2 M U X 2 M U X 2 M U X 4 0 1 1 1 1 0 0 1 1 0 1 0 1 1 0 1 1 1 1 1 s 0 s 1 7

マルチプレクサのバリエーション どの制御信号によってどの入力が選択されるかを明示したい場合 0 1 0 1 2 3 多ビットをまとめて選択したい場合 8 8 M U X 2 8 短い斜線と数字は, 複数ビットをまとめたことを表示している ( 自明な場合, 興味のない場合は適宜省略 ) 記号の形状は, 台形だったり楕円だったりといろいろな流儀がある 8

レジスタ選択復習 : MIPS の構造 PC 次 PC 計算 命令デコーダ mux 32x32 ビットレジスタ メモリ mux 制御回路 演算選択 32 ビット ALU アドレス (32 ビット ) データ (8, 16, 32 ビット ) 9

32 32 ビットレジスタ (1 入力 2 出力 ) 書き込みイネーブル 書き込みレジスタ番号 5 読み出しレジスタ番号 (1) 読み出しレジスタ番号 (2) en 2 進デコーダ 5 5 en en en en 書き込みデータ 32 mux 読み出しデータ (1) mux 読み出しデータ (2) 32-bit レジスタ 32 個 ( ここは組合せ回路ではない 次回のテーマ ) 10

半加算器 (half adder) a b HA s (sum) c (carry) 1 1 +) 1 0 c a b s a b s c 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 a b s c 11

全加算器 (full adder) a b c in FA s c out c out 11 0 +) 1 0 c in a b s 前の位からの繰り上がりを考慮する. 半加算器が 2 つ必要 a b c in a b HA s c a b HA s c s c out 12

n- ビット加算器 0 b 0 FA s c out s 0 c 0 リプルキャリー型加算器と呼ばれる b 1 FA s c out s 1 n に比例して遅延が蓄積するため, 決して速い回路ではない c 1 a 2 b 2 FA s c out s 2 c 2 より高速な ( しかし回路規模の大きい ) 加算回路も広く用いられている (e.g. キャリー先読み型加算器 ) c n-2 a n-1 b n-1 FA s c out s n-1 c n-1 13

n- ビット減算器 0 b 0 s 0 b 0 1 s 0 b 1 a 2 b 2 n-bit adder s 1 s 2 a b = a + ( b) b 1 b 2 a 2 n-bit adder s 1 s 2 入力を変えるだけで減算器になる s n-1 a n-1 b n-1 c n-1 s n-1 a n-1 b n-1 c n-1 14

バレルシフタ ( ローテータ ) a 7 a 6 a 5 a 4 a 3 a 2 b 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 ビット右循環シフト b 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 2 ビット右循環シフト b 2 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 4 ビット右循環シフト y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 N ビット値 a を b ビット右循環シフトしたものを y として出力する組合せ回路 b ビット左循環シフトは,N b ビット右循環シフトと等価 b ビット左シフトは, 左循環シフト出力のLSB 側 b ビットを 0 にする b ビット右シフトは, 右循環シフト出力のMSB 側 b ビットを 0 にする 15

ビットごと論理演算器 b 0 y 0 b 0 y 0 b 0 y 0 b 0 y 0 b 1 y 1 b 1 y 1 b 1 y 1 b 1 y 1 a 2 b 2 y 2 a 2 b 2 y 2 a 2 b 2 y 2 a 2 b 2 y 2 a n-1 b n-1 y n-1 a n-1 b n-1 y n-1 a n-1 b n-1 y n-1 a n-1 b n-1 y n-1 y = a & b y = a b y = a ^ b y = ~(a b) 16

ALU op a b 32 32 op a 32 32 y 32-bit and 32-bit or 32-bit nor 32 32 32 mux 32 y b 32 32-bit add 32 32-bit sub 32 32-bit shift 32 17

参考 命令デコーダは,32 ビットの命令を入力として, 命令の解釈結果を出力する組合せ回路である. 出力信号は例えば : 命令種別 ( レジスタ演算, 即値演算, ロード, ストア, 分岐 ) レジスタ番号 rs レジスタ番号 rt レジスタ番号 rd 即値 オフセット オペコード... 次 PC 計算 部は, 現在の PC 値と 2 つのレジスタ値を入力として, 次の PC の値を出力する組合せ回路である. 内部では 分岐条件の判定と 次の PC の計算を行う 構成例 : 教科書付録 E 章 18

練習問題 1. 2 入力マルチプレクサ m(,, s) を主加法標準形の論理式で表せ. 2. m(,, s) のカルノー図をかき, できるだけ簡単な積和型の論理式で表せ. またその論理回路図を示せ. 3. 全加算器の両出力 s(a, b, c in ), c out (a, b, c in ) のカルノー図をかき, それぞれをできるだけ簡単な積和型の論理式で表せ. 20

解答例 1. 2. m a0 a1 00 01 11 10 s 0 1 1 1 1 1 s 3. s a b 00 01 11 10 cin 0 1 1 1 1 1 cout a b 00 01 11 10 cin 0 1 1 1 1 1 (3 入力 XOR) (3 入力多数決関数 ) 21