1. Internet of Things (IoT) mw µw [1] LSI (NTC) [2][3] LSI 2 [3] CMOS ( 0.2V ) CMOS SOI(Silicon On Insulater) SOI (LEAP) Silicon on Thin BOX MOSFET (S

Size: px
Start display at page:

Download "1. Internet of Things (IoT) mw µw [1] LSI (NTC) [2][3] LSI 2 [3] CMOS ( 0.2V ) CMOS SOI(Silicon On Insulater) SOI (LEAP) Silicon on Thin BOX MOSFET (S"

Transcription

1 SOTB MOSFET V850 1,a) 1,b) 2,c) 1,d) , FD-SOI Silicon on Thin BOX(SOTB) MOSFET SOTB MOS-FET V850Estar 89.7% :Break Even Time 2.177ms 19.30ms 229.3µs SPICE SOTB A Research of Dynamic Body Bias Control on Micro Controller V850 Using SOTB MOSFET Hayate Okuhara 1,a) Kuniaki Kitamori 1,b) Kimiyoshi Usami 2,c) Hideharu Amano 1,d) Received: May 19, 2015, Accepted: November 6, 2015 Abstract: Silicon on Thin BOX (SOTB) MOS-FET is a low power FD-SOI device than can control the leakage power and operational speed by scaling body bias voltage. A low power micro-controller using the SOTB technology can save the energy by giving a large reverse bias to reduce the leakage power in the sleep mode, and waking up when it is requested. The paper makes the fundamental issues clear for using the above bias control method based on the measurement of a micro-controller V850 Estar. Real chip evaluation appears that 89.7% of leakage can be saved in the sleep mode. Break Even Time (BET) on which the leakage reduction overcomes power overhead of body bias control is 2.177ms in the core macro and is 19.30ms in the memory macro. Also, the response delay of body bias control is at most 229.3µs. These evaluation results must be concerned in the bias control. Finally, a leak monitor which can detect the wake up from the sleep mode is proposed. The target active body bias voltage to be detected can be given from outside the chip according to the setting voltage given by the proposed expression with almost no error to the SPICE simulation results. Keywords: Low power design, Dynamic body bias control, SOTB, micro controller 1 Hiyoshi, Kohokuku, Kanagawa , Japan 2 Toyosu, Koutouku, Tokyo 3-7-5, Japan a) hayate@am.ics.keio.ac.jp b) kitamori@am.ics.keio.ac.jp c) usami@shibaura-it.ac.jp d) hunga@am.ics.keio.ac.jp c 2016 Information Processing Society of Japan 1

2 1. Internet of Things (IoT) mw µw [1] LSI (NTC) [2][3] LSI 2 [3] CMOS ( 0.2V ) CMOS SOI(Silicon On Insulater) SOI (LEAP) Silicon on Thin BOX MOSFET (SOTB MOS- FET) [4] BOX 10nm [5][6][7] ( ) (BET:Break Even Time)[8] BET [9] SOTB V850 [10] BET 2. SOTB LSI 2.1 SOTB CMOSFET SOTB MOSFET FD-SOI(Fully Depleted-Sillicon On Insulater) 1 10nm BOX SOTB MOSFET BOX (SCE) (V DD ) BOX pn- CMOS BOX 2.2 MOSFET (V T H ) ( ) V T H MOSFET nmosfet V sn V BN pmosfet c 2016 Information Processing Society of Japan 2

3 VBP Drain Gate Source Gate Drain VBN STI N-well P-well Deep n-well 1 SOTB MOSFET P-sub V sp V BP V sn > V BN, (1) V sp < V BP, (2) MOS FET V T H (I leak ) V sn < V BN, (3) V sp > V BP, (4) V T H 2.3 SOI [5] SOTB MOSFET [11] [12] FPGA [6] [7] FPGA [9] [13][14] BET V850E-Star 32bit V850E-Star[10] SOTB 65nm 2 1 V850 RISC CPU K 128-Kbyte CPU nmos pmos V BN V BP V DD nmos pmos V BNM V BP M V DDM CMOS nmos pmos V BP + V BN = V DD (5) V BP M + V BNM = V DDM (6) V BN V BNM V MiBench[15] c 2016 Information Processing Society of Japan 3

4 情報処理学会論文誌 表 1 対象 V850 の実装諸元 process 65-nm FD-SOI (LEAP SOTB) logic gates 46.2K local memory 128K + 128K Logic Synthesis Design Compiler Routing of Layout IC Compiler Package 208PIN QFP Standard Voltage 0.4V Maximum operational freaqency[mhz] Vol.57 No (Feb. 2016) 50 VBNM=0.2V VDD =0.4V VDDM=0.4V 40 VBNM=0.1 VBNM=0 VBNM=-0.1 VBNM=-0.2 VBNM= VBNM= VBN[V] 0.2 図 3 実チップ評価による V850 の最高動作周波数 [MHz] 図 2 V850 のチップ写真 表 2 V850 の電圧定義 V BP CPU コア pmos ボディ電圧 V BN CPU コア nmos ボディ電圧 VDD CPU コア電源電圧 V BP M メモリ pmos ボディ電圧 V BN M メモリ nmos ボディ電圧 VDDM メモリ電源電圧 Standby Power[W] 10 2 MEM 10 4 CORE VDD =0.4V VDDM=0.4V VBN VBNM[V] 図 4 V850 で消費されるリーク電流による消費電力 [W] 加している ここでボディバイアスが待機時にゼロバイア プログラム Dijkstra を動作させた際の最高動作周波数のボ スのままであると仮定すると コアに流れるリーク電流 ディバイアス特性を示す Dijkstra は メモリのアクセス は mA でメモリに流れるリーク電流は 4.623mA で が多いアプリケーションであるが 演算が多い離散コサイ ある 従って これらのリーク電流を足し合わせて電源電 ン変換のアプリケーションでも最大動作周波数がほぼ変わ 圧を掛ければリーク電流による消費電力はチップ全体に らなかったことから 今回の評価は Dijkstra を用いた結果 対して 2.018mW である これに対して V BN = 1.1V のみを示す および V BN M = 1.1V のリバースバイアス時は コア VDD および VDDM は 0.4V とし 横軸をコアのボディバ に流れるリーク電流が 8.036µA で メモリに流れるリー イアスの電圧 V BN 縦軸を最高動作周波数とした パラ ク電流が 43.89µA である 従ってリーク電流による消費 メータとして メモリのボディバイアス電圧 V BN M を変 電力は 20.77µW となり ゼロバイアス時の 10.3%である 化させている ボディバイアスをフォワード方向に上昇さ すなわち 本例において動作時のボディバイアスをゼロ せると 最高動作周波数が向上している V BN =-0.4V で バイアスとし 待機時に動的に V BN = 1.1V および V BN M =-0.4V のとき 最高動作周波数は 22MHz である V BN M = 1.1V に切り替えた場合 待機時間が十分長け のに対して V BN = 0.2V V BN M = 0.2V に変更した れば 待機時の消費電力は約 89.7%削減される ときの最高動作周波数は 47MHz まで向上した 3.4 温度特性 3.3 リーク電流 以上 プロセッサで問題となる動作周波数と消費電力に 図 4 にリーク電流による消費電力を示す 横軸が V BN ついて調べたが 命令の実行時に生じる消費電力によって および V BN M 縦軸がリーク電流による消費電力 (PST ) 発生する発熱が影響を与える場合がある [16] 発熱により である VDD および VDDM は 0.4V とした リーク電流 基板の温度が上昇する場合 閾値電圧が変動し 動作時に はチップのクロックを全て停止しリセットした状態で流 与えなければならないボディバイアスの値が変化する 動 れる電流を取得した チップのボディバイアスがフォワー 作時に与えるボディバイアスが変化すると その変化に追 ド方向にバイアスされると リーク電流は指数関数的に増 従して適切なボディバイアスを与える必要が生じる そこ c 2016 Information Processing Society of Japan 4

5 i VBN VBP Thermal of the V850[ ] Operating Time[s] VBN=0.4V VBN=0.2 VBN=0 VBN=-0.2 V DD =0.4V V DDM =0.4V V850 [ C] A chip is sleep. BET Reverse Bias Time 6 Operations are done. Wake up time Operational Bias MiBench[15] Dijkstra 5 V DD V DDM 0.4V 20MHz V850Estar 4. 6 i V BN V BP i V DD i Chip VBP VBN 4.1 Break Even Time MOSFET V BP V BP M V BN V BNM (Overhead) Break Even Time (BET) Overhead = BET 0 i leakgain (t)dt (7) t i leakgain t = 0 7 V BN V BNM -1.1V BET 8 BET 27 C 0.2V BET 3.903ms BET -0.3V BET 19.30ms msec 20msec BET 0.2V c 2016 Information Processing Society of Japan 5

6 7 8 Energy of Overhead [µj] for Body Biasing CORE MEM VBN VBNM at Operational state [V] (Stand by state : VBN=-1.1V VBNM =-1.1V) [µj] Break Even Time[s] CORE MEM MEM+CORE V DD =0.4V V DDM =0.4V VBN VBNM at Operational state [V] (Stand by state : VBN=-1.1V VBNM =-1.1V) Break Even Time[s] BET ms -0.3V BET 2.177ms BET -0.3V 3.962ms BET 4.2 V850 3 [µs] MEM CORE V BP V BP M sleep wake up V BN V BNM sleep wake up LM2904DR 1.1µF 0.4V 10% 90% ( ) 219.7µs ( ) 229.3µs V BNM 118.5µs 229.3µs [17][18] [17] SOTB pn [18] SOTB SOTB c 2016 Information Processing Society of Japan 6

7 V DD In a target macro : MEM, CORE VBP M3 M5 VEN V DD VOUT DOUT M1 M4 M6 VGND VREF Vsbn M2 VEN Vsbn M7 Put outside a taget macro Detection Part Amplifier 9 CMOS (VBP ) [14] 9 Detection Part Differential amplifier M1 V BP M1 V GND 0 V BP M1 M1 V GND V GND V REF 10 HSPICE V DD = 0.4V V BP 0.5V V BP = 1.5V V REF V DD /2 0.17V V sbn pmos V BP 1.5V 0.5V V GND V REF DOUT V BN V BP 5.2 OUTPUT[V] 0.4V Standby Operation Standby Operation V 1.5V 1.5V 0.5V 0.5V 0.5V VEN VBP 0.2V 0.2V 0.2V VGND 0.4V 0.4V 0.4V Time [s] 0.4V 0.4V (HSPICE ) VOUT DOUT V REF CMOS V REF V sbn V sbn V GND V REF M1 M2 V BP V sbn M1 M2 I sub = I off 10 Vgs+η(V ds V DD ) kγ V sb S {1 exp( V ds )}(8) v T [19] I off V DD S k γ η DIBL v T M1 M2 M1 M2 V gs 0 c 2016 Information Processing Society of Japan 7

8 I offn 10 {ηn(v GND V DD ) kγnv sbn } V GND Sn {1 exp( )} (9) v T { ηp(v GND) kγpv bsp } = I offp 10 Sp {1 exp( V DD + V GND )} v T M1 pmos p M2 nmos n pmos (V bsp ) V BP target V REF = V GND = V DD /2 V OUT V sbn V sbn = S ( n I offn log 10 η nv DD k γn I offp 2S n η pv DD 2 + k γp (V BP target V DD )) + S p (10) (11) SPICE 11 (a) (b) pmos SPICE η p = η n = K γn = 0.17 K γp = I off SPICE (11) V sbn SPICE V sbn 12 V sbn V bsn M1 V bsn SPICE 13.78mV(V BP target = 1.2V) V BP target = 0.3V 1.797mV V BP V bsn M1 V BP target V bsn (25 C Threshold Voltage[V] nmosfet: y= x pmosfet: y= x Vds[V] (a) Threshold Voltage[V] nmosfet: y=0.17x pmosfet: y=0.1223x Reverse Body Bias[V] (11) (a)v T H vsv ds (b)v T H vs Reverse Body Bias Vbsn (-Vsbn)[V] VDD=0.4V VGND=0.2V : Equation : SPICE VBPtarget[V] (11) V bsn HSPICE V bsn V BP target 0.5V V DD 0.4V V BP V bsn V bsn 3 V sbn C(T ) (11) V bsn = S n k γn ( log 10 I offn I offp η nv DD 2S n (11) + η pv DD 2 + k γp (V BP target V DD )) + C(T ) S p V bsn 13 C(T ) C(T ) = T T T T C SPICE mV (b) c 2016 Information Processing Society of Japan 8

9 14 13 Dynamic power [nw] Vbsn(T)-Vbsn(25: ) VGND=VDD/2 VBPtarget=0.5V VDD=0.4V Temperature[ ] V bsn [V] VGND=VDD/2 VBP= V VDD=0.4V Frequency of VBP [khz] [nw] BET 19.30ms BET 2.177ms BET 3.962ms BET ms BET JSPS (S) V BP 1kHz 10kHz 1ms 100µs 14 43nW 6. V850 V DD (0.4V) 22MHz 47MHz 89.7% 229.3µs BET [1] Low-Power Electronics Association & Project.: THE 4th.REPORT Ultra Low Voltage Device Project for Low-Carbon Society, [2] Ronald G. Dreslinski, et. al.: Reclaiming Moore s Law Through Energy Efficient Integrated Circuits, Proceedings of the IEEE, pp (2010). [3] David Fick, et. al.: Centip3De: A3930DMIPS/W Configurable Near-Threshold 3D Stacked System with 64 ARM Cortex-M3 Cores, Proceedings of International Solid-State Circuits Conference, pp (2012). [4] Takashi Ishigaki, et al.: Ultralow-power LSI Technology with Silicon on Thin Buried Oxide (SOTB) CMOSFET, Solid State Circuits Technologies, Jacobus W. Swart (Ed.), ISBN: , InTech, pp (2010). [5] Koichiro Ishibashi, et. al.: A Perpetuum Mobile 32bit CPU with 13.4pj/cycle, 0.14µA sleep current using Reverse Body Bias Assisted 65nm SOTB CMOS technology, Proceedings of COOL Chips XVII, pp. 1 3 (2014). [6] Hongliang Su, et. al.: Body Bias Control for a Coarse Grained Reconfigurable Accelerator Implemented with Silicon on Thin BOX technology, Proceedings of Field Programmable Logic and Applications, pp. 1 6 (2014). [7] Masakazu Hioki, et. al.: SOTB Implementation of a Field Programmable Gate Array with Fine-Grained Vt Programmability, J. Low Power Electroappl., pp (2014). [8] Daisuke Ikebuchi, et. al.: Geyser-1: A MIPS R3000 CPU c 2016 Information Processing Society of Japan 9

10 core with Fine Grain Runtime Power Gating, Proceedings of the IEEE Asian Solid-State Circuits Conference, pp (2009). [9] Johannes Maximilian Kuehn, et. al.: Spatial and Temporal Granularity Limits of Body Biasing in UTBB-FDSOI, Proceedings of the 2015 Design Automation & Test in Europe Conference(DATE15), pp (2015). [10] Kuniaki Kitamori, et. al.: Power optimization of a microcontroller with Silicon On Thin Buried Oxide, Proceedings of The 18th Workshop on Synthesis And System Integration of Mixed Information technologies, pp (2013). [11] Shohei Nakamura, et. al.: Measurement of the Minimum Energy Point in Silicon on Thin-BOX(SOTB) and Bulk MOSFET, Proceedings of International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon, pp (2015). [12] Toshihiro Takeshita, et. al.: Analyzing the Impacts of Simultaneous Supply and Threshold Voltage Tuning on Energy Dissipation in VLSI Circuits, IEICE Technical Report (IEICE-VLD ), Vol. 114, No. 426, pp (2015). [13] Hayate Okuhara, et. al.: Time Analysis of Applying Back Gate Bias for Reconfigurable Architectures with SOTB MOSFET, Proceedings of The Workshop on Synthesis And System Integration of Mixed Information Technologies(SASIMI2015), pp (2015). [14] Hayate Okuhara, et. al.: A Leakage Current Monitor Circuit Using Silicon on Thin BOX MOSFET for Dynamic Back Gate BIas Control, Proceedings of the COOLChipsXVIII, pp. 1 3 (2015). [15] Matthew Guthaus, et. al.: MiBench Version 1.0, [16] David Brooks, et. al.: Dynamic Thermal Management for High-Performance Microprocessors, Proceedings of High-Performance Computer Architecture, pp (2001). [17] Tadahiro Kuroda, et. al.: A -1.9-V, 150-MHz, 10-mW, 4 mm 2, 2-D Discrete Cosine Transform Core Processor with Variable threshold -Voltage (VT) Scheme, IEEE Journal of Solid-State Circuits., pp (1996). [18] HeungJun Jeon, et. al.: Standby Leakage Power Reduction Technique for Nanoscale CMOS VLSI Systems, IEEE Transactions on Instrumentation And Measurement, pp (2010). [19] Neil H.E. Weste, et. al.: CMOS VLSI Design A Circuits and Systems Perspective, Addison Wesley, 4 edition (2010) ( ) IEEE ACM LSI LSI c 2016 Information Processing Society of Japan 10

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei Just-In-Time PG 1,a) 1, 1 2 1 1 Just-In-Time VM Geyser Dalvik VM CaffeineMark SPECJVM 17% 1. LSI [1][2][3][4][5] (PG) Geyser [6][7] PG ON/OFF OS PG PG [7][8][9][10] Java Just-In-Time (JIT PG [10] JIT 1

More information

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI)

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI) DA DA シンポジウム 25 27 DAS25 Design Automation Symposium 25/8/26 28nm UTBB FDSOI SOI 28nm UTBB FDSOI Analysis of Soft Error Rates in a 28nm UTBB FDSOI Structure by DeviceLevel Simulation Shigehiro Umehara

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro TV 1,2,a) 1 2 2015 1 26, 2015 5 21 Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Rotation Using Mobile Device Hiroyuki Kawakita 1,2,a) Toshio Nakagawa 1 Makoto Sato

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

Configuration Constant Fetch Gather Data Manupulator Data Memory 700 Pipeline Pipeline Pipeline Array

Configuration Constant Fetch Gather Data Manupulator Data Memory 700 Pipeline Pipeline Pipeline Array THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE [] CCSOTB2 Ng Doan Anh Vu 223 8522 3 14 1 E-mail: {tkojima,ando,matsushita,hayate,doan,hunga}@amicskeioacjp

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

Kochi University of Technology Aca Title 省 電 力 セルフタイム 回 路 に 関 する 研 究 Author(s) 岩 田, 誠, 宮 城, 桂, 三 宮, 秀 次, 西 川, 博 昭 Citation 高 知 工 科 大 学 紀 要, 10(1): 95-102 Date of 2013-07-20 issue URL http://hdl.handle.net/10173/1082

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of IEEE802.11 [1]Bluetooth [2] 1 1 (1) [6] Ack (Ack) BEC FEC (BEC) BEC FEC 100 20 BEC FEC 6.19% 14.1% High Throughput and Highly Reliable Transmission in MANET Masaaki Kosugi 1 and Hiroaki Higaki 1 1. LAN

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2 CHLAC 1 2 3 3,. (CHLAC), 1).,.,, CHLAC,.,. Suspicious Behavior Detection based on CHLAC Method Hideaki Imanishi, 1 Toyohiro Hayashi, 2 Shuichi Enokida 3 and Toshiaki Ejima 3 We have proposed a method for

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for 1 2 3 3 1 Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for Mobile Terminals Kaoru Wasai 1 Fumio Sugai 2 Yosihiro Kita 3 Mi RangPark 3 Naonobu

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

Low Power SoC Technology Development at STARC 2 Koichiro Ishibashi 3 4 Semiconductor» 5 Technology Academic Research Center (STARC) Yokohama, Japan Th

Low Power SoC Technology Development at STARC 2 Koichiro Ishibashi 3 4 Semiconductor» 5 Technology Academic Research Center (STARC) Yokohama, Japan Th Low Power SoC Technology Development at STARC Koichiro Ishibashi Semiconductor Technology Academic Research Center (STARC) Yokohama, Japan The 2nd Hiroshima International Workshop on Nanoelectronics for

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

LP3470 Tiny Power On Reset Circuit (jp)

LP3470  Tiny Power On Reset Circuit (jp) Tiny Power On Reset Circuit Literature Number: JAJS547 IC ( C) CMOS IC 2.63V 2.93V 3.08V 3.65V 4.00V 4.38V 4.63V 6 (V RTH ) 2.4V 5.0V V CC (L ow ) ( ) V CC ( ) IC SOT23-5 1 : 2.63V 2.93V 3.08V 3.65V 4.00V

More information

MAX665S//X ABSOLUTE MAXIMUM ATINGS B4P to PKN (MAX665X) to 24 B3P to PKN (MAX665) to 8 B2P to PKN (MAX665S) to 2 BP to PKN, B2P to B

MAX665S//X ABSOLUTE MAXIMUM ATINGS B4P to PKN (MAX665X) to 24 B3P to PKN (MAX665) to 8 B2P to PKN (MAX665S) to 2 BP to PKN, B2P to B 9-65; ev ; / µ µ MAX665S//X PAT MAX665SESA MAX665ESA MAX665XESA TEMP. ANGE -4 C to 85 C -4 C to 85 C -4 C to 85 C PIN- PACKAGE 8 SO 8 SO 8 SO CELL COUNT 2 3 4 TOP IEW () I.C. (B4P) 8 I.C. [B3P] B4P B3P

More information

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S Overture 68W ( ) 0.1 (THD N) 20Hz 20kHz 4 68W 8 38W SPiKe (Self Peak Instantaneous Temperature ( Ke)) SOA (Safe Operating Area) SPiKe 2.0 V ( ) 92dB (min) SN 0.03 THD N IMD (SMTPE) 0.004 V CC 28V 4 68W

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. E-mail: {ytamura,takai,tkato,tm}@vision.kuee.kyoto-u.ac.jp Abstract Current Wave Pattern Analysis for Anomaly

More information

DA シンポジウム Design Automation Symposium DAS /9/15 1,a) nm FDSOI 6T SRAM 0.3 V DVFS 34% An On-Chip Memory Enabling Minimum Energy Point

DA シンポジウム Design Automation Symposium DAS /9/15 1,a) nm FDSOI 6T SRAM 0.3 V DVFS 34% An On-Chip Memory Enabling Minimum Energy Point ,a) 65-nm FDSOI 6T SRAM.3 V DVFS 34% An On-Chip Memory Enabling Minimum Energy Point Tracking over a Wide Operating Performance Range Jun Shiomi,a) Tohru Ishihara Hidetoshi Onodera Abstract: On-chip memory

More information

16.16%

16.16% 2017 (411824) 16.16% Abstract Multi-core processor is common technique for high computing performance. In many multi-core processor architectures, all processors share L2 and last level cache memory. Thus,

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal Inrush Current of Induction Motor on Applying Electric Power by Takao Itoi Abstract The transient currents flow into the windings of the induction motors when electric sources are suddenly applied to the

More information

DS90LV V or 5V LVDS Driver/Receiver (jp)

DS90LV V or 5V LVDS Driver/Receiver (jp) DS90LV019 DS90LV019 3.3V or 5V LVDS Driver/Receiver Literature Number: JAJS563 DS90LV019 LVDS 1 / DS90LV019 Low Voltage Differential Signaling (LVDS) 1 CMOS / DS90LV019 EIA-644 IEEE1596.3 (SCI LVDS) 2

More information

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us 1,a) 1 1 1 1 2 2 2011 8 10, 2011 12 2 1 Bluetooth 36 2 3 10 70 34 A Health Management Service by Cell Phones and Its Usability Evaluation Naofumi Yoshida 1,a) Daigo Matsubara 1 Naoki Ishibashi 1 Nobuo

More information

mobicom.dvi

mobicom.dvi 13Dynamic Voltage Scaling on a Low-Power Microprocessor Johan Pouwelse 5 Koen Langendoen Henk Sips Faculty of Information Technology and Systems Delft University of Technology, The Netherlands 1 78724

More information

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s 1 1 1, Extraction of Transmitted Light using Parallel High-frequency Illumination Kenichiro Tanaka 1 Yasuhiro Mukaigawa 1 Yasushi Yagi 1 Abstract: We propose a new sharpening method of transmitted scene

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

MOSFET HiSIM HiSIM2 1

MOSFET HiSIM HiSIM2 1 MOSFET 2007 11 19 HiSIM HiSIM2 1 p/n Junction Shockley - - on-quasi-static - - - Y- HiSIM2 2 Wilson E f E c E g E v Bandgap: E g Fermi Level: E f HiSIM2 3 a Si 1s 2s 2p 3s 3p HiSIM2 4 Fermi-Dirac Distribution

More information

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat AUTOSAR 1 1, 2 2 2 AUTOSAR AUTOSAR 3 2 2 41% 29% An Extension of AUTOSAR Communication Layers for Multicore Systems Toshiyuki Ichiba, 1 Hiroaki Takada, 1, 2 Shinya Honda 2 and Ryo Kurachi 2 AUTOSAR, a

More information

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation 1 1 1 1 SPEC CPU 2000 EQUAKE 1.6 50 500 A Parallelizing Compiler Cooperative Multicore Architecture Simulator with Changeover Mechanism of Simulation Modes GAKUHO TAGUCHI 1 YOUICHI ABE 1 KEIJI KIMURA 1

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple 1 2 3 4 5 e β /α α β β / α A judgment method of difficulty of task for a learner using simple electroencephalograph Katsuyuki Umezawa 1 Takashi Ishida 2 Tomohiko Saito 3 Makoto Nakazawa 4 Shigeichi Hirasawa

More information

(3.6 ) (4.6 ) 2. [3], [6], [12] [7] [2], [5], [11] [14] [9] [8] [10] (1) Voodoo 3 : 3 Voodoo[1] 3 ( 3D ) (2) : Voodoo 3D (3) : 3D (Welc

(3.6 ) (4.6 ) 2. [3], [6], [12] [7] [2], [5], [11] [14] [9] [8] [10] (1) Voodoo 3 : 3 Voodoo[1] 3 ( 3D ) (2) : Voodoo 3D (3) : 3D (Welc 1,a) 1,b) Obstacle Detection from Monocular On-Vehicle Camera in units of Delaunay Triangles Abstract: An algorithm to detect obstacles by using a monocular on-vehicle video camera is developed. Since

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

LM5021 AC-DC Current Mode PWM Controller (jp)

LM5021 AC-DC Current Mode PWM Controller (jp) LM5021 LM5021 AC-DC Current Mode PWM Controller Literature Number: JAJSAC6 LM5021 AC-DC PWM LM5021 (PWM) LM5021 (25 A) 1 ( ENERGY STAR CECP ) Hiccup (Hiccup ) 8 LM5021 100ns 1MHz AC-DC PWM 5021 LM Steve

More information

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055 1 1 1 2 DCRA 1. 1.1 1) 1 Tactile Interface with Air Jets for Floating Images Aya Higuchi, 1 Nomin, 1 Sandor Markon 1 and Satoshi Maekawa 2 The new optical device DCRA can display floating images in free

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

LM7171 高速、高出力電流、電圧帰還型オペアンプ

LM7171 高速、高出力電流、電圧帰還型オペアンプ Very High Speed, High Output Current, Voltage Feedback Amplifier Literature Number: JAJS842 2 1 6.5mA 4100V/ s 200MHz HDSL 100mA 15V S/N ADC/DAC SFDR THD 5V VIP III (Vertically integrated PNP) 19850223

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

DS0 0/9/ a b c d u t (a) (b) (c) (d) [].,., Del Barrio [], Pilato [], [].,,. [],.,.,,.,.,,.,, 0%,..,,, 0,.,.,. (variable-latency unit)., (a) ( DFG ).,

DS0 0/9/ a b c d u t (a) (b) (c) (d) [].,., Del Barrio [], Pilato [], [].,,. [],.,.,,.,.,,.,, 0%,..,,, 0,.,.,. (variable-latency unit)., (a) ( DFG )., DS0 0/9/,.,,.,,,.,.,.0%,.%.,,,, Speculative Execution in Distributed Controllers for High-Level Synthesis Shimizu iho Ishiura Nagisa bstract: This article proposes a method of incorporating speculative

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

1 3DCG [2] 3DCG CG 3DCG [3] 3DCG 3 3 API 2 3DCG 3 (1) Saito [4] (a) 1920x1080 (b) 1280x720 (c) 640x360 (d) 320x G-Buffer Decaudin[5] G-Buffer D

1 3DCG [2] 3DCG CG 3DCG [3] 3DCG 3 3 API 2 3DCG 3 (1) Saito [4] (a) 1920x1080 (b) 1280x720 (c) 640x360 (d) 320x G-Buffer Decaudin[5] G-Buffer D 3DCG 1) ( ) 2) 2) 1) 2) Real-Time Line Drawing Using Image Processing and Deforming Process Together in 3DCG Takeshi Okuya 1) Katsuaki Tanaka 2) Shigekazu Sakai 2) 1) Department of Intermedia Art and Science,

More information

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm Neutron Visual Sensing Techniques Making Good Use of Computer Science J-PARC CT CT-PET TB IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm cm cm barn cm thn/ cm s n/ cm

More information

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF a m

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF   a m Vol.55 No.1 2 15 (Jan. 2014) 1,a) 2,3,b) 4,3,c) 3,d) 2013 3 18, 2013 10 9 saccess 1 1 saccess saccess Design and Implementation of an Online Tool for Database Education Hiroyuki Nagataki 1,a) Yoshiaki

More information

MAX4886 DS.J

MAX4886 DS.J 19-0807; Rev 0; 4/07 EVALUATION KIT AVAILABLE μ PART TEMP RANGE PIN- PACKAGE PKG CODE ETO+ -40 C to +85 C 42 TQFN-EP* T42359OM-1 * EYE DIAGRAM ( = 3.3V, f = 2.6GHz 600mV P-P PRBS SIGNAL+) * PRBS = PSUEDORANDOM

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

untitled

untitled NJU7704/05 C-MOS ( ) ±1.00.9µA DSP SOT-23-5 SC88A 2 DSP NJU7704/05F NJU7704/05F3 ±1.0 0.9µA typ ( ) 1.5 6.0(0.1 step) ( C ) ( ) Active "L" : NJU770****A Active "H" : NJU770****B Nch : NJU7704 C-MOS : C-MOS

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

untitled

untitled Tokyo Institute of Technology high-k/ In.53 Ga.47 As MOS - Defect Analysis of high-k/in.53 G a.47 As MOS Capacitor using capacitance voltage method,,, Darius Zade,,, Parhat Ahmet,,,,,, ~InGaAs high-k ~

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション () 増幅回路の周波数特性 Frequency characteristic of amplifier circuit (2) 増幅回路の周波数特性 Frequency characteristic of amplifier circuit MOS トランジスタの高周波モデル High-frequency model for MOS FET ゲート酸化膜は薄いので G-S, G-D 間に静電容量が生じる

More information

<95DB8C9288E397C389C88A E696E6462>

<95DB8C9288E397C389C88A E696E6462> 2011 Vol.60 No.2 p.138 147 Performance of the Japanese long-term care benefit: An International comparison based on OECD health data Mie MORIKAWA[1] Takako TSUTSUI[2] [1]National Institute of Public Health,

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6)

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6) 1 2 1 3 Experimental Evaluation of Convenient Strain Measurement Using a Magnet for Digital Public Art Junghyun Kim, 1 Makoto Iida, 2 Takeshi Naemura 1 and Hiroyuki Ota 3 We present a basic technology

More information

General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to-

General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to- General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 358 LMV358/324 LM358/324

More information

ABSOLUTE MAXIMUM RATINGS Supply Voltage ( )...+6V All Other Pins V to ( + 0.3V) Duration of Output Short Circuit to _ or...continuous Continuous

ABSOLUTE MAXIMUM RATINGS Supply Voltage ( )...+6V All Other Pins V to ( + 0.3V) Duration of Output Short Circuit to _ or...continuous Continuous 19-1141; Rev 1; 11/98 µ µ µ µ µ PART MAX975ESA MAX975EUA MAX977ESD TEMP. RANGE -40 C to +85 C -40 C to +85 C -40 C to +85 C PIN-PACKAGE 8 SO 8 µmax 14 SO MAX977EEE -40 C to +85 C 16 QSOP IN+ HIGH SPEED

More information

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 657 8531 1 1 E-mail: {soda,matsubara}@ws.cs.kobe-u.ac.jp, {masa-n,shinsuke,shin,yosimoto}@cs.kobe-u.ac.jp,

More information

独立行政法人情報通信研究機構 Development of the Information Analysis System WISDOM KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the infor

独立行政法人情報通信研究機構 Development of the Information Analysis System WISDOM KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the infor 独立行政法人情報通信研究機構 KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the information analysis system WISDOM as a research result of the second medium-term plan. WISDOM has functions that

More information

B HNS 7)8) HNS ( ( ) 7)8) (SOA) HNS HNS 4) HNS ( ) ( ) 1 TV power, channel, volume power true( ON) false( OFF) boolean channel volume int

B HNS 7)8) HNS ( ( ) 7)8) (SOA) HNS HNS 4) HNS ( ) ( ) 1 TV power, channel, volume power true( ON) false( OFF) boolean channel volume int SOA 1 1 1 1 (HNS) HNS SOA SOA 3 3 A Service-Oriented Platform for Feature Interaction Detection and Resolution in Home Network System Yuhei Yoshimura, 1 Takuya Inada Hiroshi Igaki 1, 1 and Masahide Nakamura

More information

MCU MOS-FET [2] [3] CPU [4] MCU CPU 2.2 [5] OS 3. 1 CPU CPU CPU CPU CPU 1 Fig. 1 system structure 2 Fig. 2 Entire sequence 2

MCU MOS-FET [2] [3] CPU [4] MCU CPU 2.2 [5] OS 3. 1 CPU CPU CPU CPU CPU 1 Fig. 1 system structure 2 Fig. 2 Entire sequence 2 1 1 2 1 1 0 CPU RAM (NVRAM) 1. 1 [1] NVRAM NVRAM OS 1 Future University Hakodate 2 Kumamoto University 2. 2.1 [2] Geyser [3] OS PCCS Power Consumption Controlling Scheduler [4] MCU 1 MCU MOS-FET [2] [3]

More information

untitled

untitled Power Wall HPL1 10 B/F EXTREMETECH Supercomputing director bets $2,000 that we won t have exascale computing by 2020 One of the biggest problems standing in our way is power. [] http://www.extremetech.com/computing/155941

More information

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Speech Visualization System Based on Augmented Reality Yuichiro Nagano 1 and Takashi Yoshino 2 As the spread of the Augmented Reality(AR) technology and service,

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

LM193/LM293/LM393/LM 回路入り低動作電圧低オフセット電圧コンパレータ

LM193/LM293/LM393/LM 回路入り低動作電圧低オフセット電圧コンパレータ LM193,LM2903,LM293,LM393 LM193/ Low Power Low Offset Voltage Dual Comparators Literature Number: JAJSB74 2 LM293 2.0mV 2 A/D VCO MOS LM293 TTL CMOS LM293 MOS LM393 LM2903 Micro SMD 8 ( 0.3mm) Squarewave

More information

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO and Masaru KOBAYASHI Chassis Engineering Management

More information

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System 1. (1) ( MMI ) 2. 3. MMI Personal Computer(PC) MMI PC 1 1 2 (%) (%) 100.0 95.2 100.0 80.1 2 % 31.3% 2 PC (3 ) (2) MMI 2 ( ),,,, 49,,p531-532,2005 ( ),,,,,2005,p66-p67,2005 17 Proposal of an Algorithm of

More information

IPSJ SIG Technical Report Vol.2010-GN-74 No /1/ , 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KU

IPSJ SIG Technical Report Vol.2010-GN-74 No /1/ , 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KU 1 2 2 1, 3 Disaster Training Supporting System Based on Electronic Triage HIROAKI KOJIMA, 1 KUNIAKI SUSEKI, 2 KENTARO NAGAHASHI 2 and KEN-ICHI OKADA 1, 3 When there are a lot of injured people at a large-scale

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

LM358

LM358 LM358 2 DC LM358 5V DC 15V DC micro SMD (8 micro SMD) LM358 LM2904 LM258 LM158 20000801 19870224 33020 23900 11800 2002 3 ds007787 Converted to nat2000 DTD added avo -23 to the first page Edited for 2001

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

P2P P2P peer peer P2P peer P2P peer P2P i

P2P P2P peer peer P2P peer P2P peer P2P i 26 P2P Proposed a system for the purpose of idle resource utilization of the computer using the P2P 1150373 2015 2 27 P2P P2P peer peer P2P peer P2P peer P2P i Abstract Proposed a system for the purpose

More information

LMC6082 Precision CMOS Dual Operational Amplifier (jp)

LMC6082 Precision CMOS Dual Operational Amplifier (jp) Precision CMOS Dual Operational Amplifier Literature Number: JAJS760 CMOS & CMOS LMC6062 CMOS 19911126 33020 23900 11800 ds011297 Converted to nat2000 DTD Edited for 2001 Databook SGMLFIX:PR1.doc Fixed

More information

日本感性工学会論文誌

日本感性工学会論文誌 pp.389-402 2017 doi: 10.5057/jjske.TJSKE-D-17-00019 SKEL Fundamental Analysis on Designer s Inference Process Framework and Its Visualization Proposal of Inference Mapping Method to Assist Meta-cognition

More information

( ) [1] [4] ( ) 2. [5] [6] Piano Tutor[7] [1], [2], [8], [9] Radiobaton[10] Two Finger Piano[11] Coloring-in Piano[12] ism[13] MIDI MIDI 1 Fig. 1 Syst

( ) [1] [4] ( ) 2. [5] [6] Piano Tutor[7] [1], [2], [8], [9] Radiobaton[10] Two Finger Piano[11] Coloring-in Piano[12] ism[13] MIDI MIDI 1 Fig. 1 Syst 情報処理学会インタラクション 2015 IPSJ Interaction 2015 15INT014 2015/3/7 1,a) 1,b) 1,c) Design and Implementation of a Piano Learning Support System Considering Motivation Fukuya Yuto 1,a) Takegawa Yoshinari 1,b) Yanagi

More information

DEIM Forum 2017 H ,

DEIM Forum 2017 H , DEIM Forum 217 H5-4 113 8656 7 3 1 153 855 4 6 1 3 2 1 2 E-mail: {satoyuki,haya,kgoda,kitsure}@tkl.iis.u-tokyo.ac.jp,.,,.,,.,, 1.. 1956., IBM IBM RAMAC 35 IBM 35 24 5, 5MB. 1961 IBM 131,,, IBM 35 13.,

More information

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan SNS 1,a) 2 3 3 2012 3 30, 2012 10 10 SNS SNS Development of Firefighting Knowledge Succession Support SNS in Tokyo Fire Department Koutarou Ohno 1,a) Yuki Ogawa 2 Hirohiko Suwa 3 Toshizumi Ohta 3 Received:

More information