Low Power SoC Technology Development at STARC 2 Koichiro Ishibashi 3 4 Semiconductor» 5 Technology Academic Research Center (STARC) Yokohama, Japan Th

Size: px
Start display at page:

Download "Low Power SoC Technology Development at STARC 2 Koichiro Ishibashi 3 4 Semiconductor» 5 Technology Academic Research Center (STARC) Yokohama, Japan Th"

Transcription

1 Low Power SoC Technology Development at STARC Koichiro Ishibashi Semiconductor Technology Academic Research Center (STARC) Yokohama, Japan The 2nd Hiroshima International Workshop on Nanoelectronics for Terra-Bit Information Processing, Jan. 3, Outline Outline of Project ASUKA Low Power Technology Development at STARC 2 Developed Low Power Technology - Self-Adjusted Forward Body Bias - Body Gating - High speed ADC Device Model Issues Summary 2 2 Project ASUKA Development Scheme JEITA Semiconductors Executive Committee ASUKA Device and 2 Design Process Technology Technology STARC Selete Established» December, Established February,1996 Members (12 companies) Related Research Organization NEDO MIRAI ASET HALCA EUVA ASPLA Overseas consortium (IMEC,SRC etc.) Universities Equipment & materials suppliers, EDA vendors 3 3 Design Technology Development in STARC - SoC Design Flow - System-Level System level design technology System Level System description Architecture design Design Group RTL generation SW generation 4HW/SW co-verification System Library RTL/Gate-Level RTL design verification IP reuse circulation IP IPTechnology Logic synthesis IP Library Group Layout DR/library standardization Timing analysis Cell library Circuit/Layout-Level TEG Distribution Model Physical Device model Design Group Physical optimization Ultra low power technology System Circuit -Level Low Low Power Technology Group 4 4

2 Low power CPU Low power 2 hardware macro Low voltage ADC Low Power SoC Low power and high density memory Hard IP Analog CPU Memory 5 5 Target - Low voltage operation of each IP block - Digital -.5V digital circuit -3 Power Management Analog - 1V operation ADC - Noise suppression scheme Memory -.5V operation on-chip RAM 6 6 Gate Leak Reduction by Super Low Voltage Ref.S.H.Lo et al., Gate leakage current is reduced by 2 orders of mag. when suply voltage is decreased from 1.2V to.5v 7 7 Supply Voltage V High Speed Analog Circuit using Low Vdd Logic Transistors Frequency (Hz) 2 1 1G 1G 1G 1M 1M Analog PRML Video Voice High speed application Gate Length m Logic.7 Ft for Logic Tr. Ft for Analog Tr. 8 8

3 New Applications of Low Power SoCs Ultra-small AV machine Wearable computer SoC(System on Chip) Ultra-low power consumption PDC on your wrist Low Power Project Time Line Fiscal Year Master Plan Building Block Development (13nm) SoC Development (9nm) T.T. Intelligent card Intelligent robot 4G cellular phone Contribution to realize these applications by decreasing the power of SoC to 1/ Presentation at International Conferences T. Yamashita et al., A V-driver Circuit for Lowering Power of sub-.1 m Bus, 22 AP-ASIC, Taipei K. Ishibashi et al., A 9 W 5MHz 32b Adder Using a Self-Adjusted Forward Body Bias in SoCs, 23 ISSCC, San Francisco H. Okada et al., Offset Calibrating Comparator Array for 1.2-V, 6- bit, 4-Gsample/s Flash ADCs using.13- m generic CMOS technology, 23 Ess. 4 Circ., Lisbon K.Ishibashi et al.,» "Low 5 Power SoC Project in STARC, 23 VLSI- TSA, Taipei Y. Arima et al., A Cosmic-Ray Immune Latch Circuit for 9-nm Technology and Beyond, 24 ISSCC, San Francisco T. Tsukada et al., "An On-Chip Active Decoupling Circuit to Suppress Crosstalk in Deep Sub-Micron CMOS Mixed-Signal SOCs, 24 ISSCC, San Francisco Strategy to Obtain Low Power Digital Circuit Combination of High Performance Device and Super Low Voltage Operation Ultra 2low AC power with relatively high performance Gate leakage and DIBL is reduced Variation due to PVT Self-adjusted Forward body bias Large subthreshold leakage current Power management by body gating 12 12

4 SAFBB Technique (Self-Adjusted Forward Body Bias) 9 W 3 32bit Simple body bias circuit Self - Adjusted Forward Body Bias Concept Vbn Forward body bias as Vbe Ibn n+ p+ P WELL n+ Self-adjusted I depending on Ibn temperature Vbn Practical Implementation of SAFBB Cbn Ibn Vbn Body bias Controller p+ P well well Logic cells n+ Vbp 15 Ibp m 41 m Test Chip Structure Body bias.13 m CMOS controller - P-sub, twin well - VT:.16V/.25V 595 m 154 m 32 - bit adder - Binary CLA k transistors - Static CMOS circuits 16 16

5 Measured 32-bit Adder Delay 21 7 Delay (ns) -2 1 VT =.25 V -61% Bias SAFBB -25% Supply Voltage (V) Consuming Current of 32-bit Adder A) AC (SAFBB) DC (SAFBB) DC ( body bias) Consuming Current ( 6 VT =.16 V A 5 MHz 4 MHz Supply Voltage (V) z 5 MH Power Delay Product of 32 - bit Adder m SOI 32-b ALU m 32-b ALU prop. Delay (ns) 1pJ mw MPEG2 Body Gating Leakage Current Control.3pJ Power ( W)

6 Body Gating Technique Leakage reduction by controlling body bias 2 Vdd» Body 5 bias controller Load Body Power AC DC Control CPU conv. signals 1 KGate 32 MCycle/s ME 5 KGate 64 MCycle/s PMU DF Body bias controller SoC with Body Gating 3 KGate 64 MCycle/s DCT 3 KGate 64 MCycle/s VLD 1 KGate 32 MCycle/s Clock Power prop. Clock Body Power 32/64 MHz 4 MHz 4 MHz Power Estimation for MPEG2 CODEC CPU Standard V Body Gating with V ME DF DCT/ IDCT 3.6 mw (DC leak.75 mw) VLD 16.4 mw High Speed ADC 2Gsample/s Offset Voltage Reduction

7 Issues of Low-Voltage ADC Comparator Array Architecture of ADC - Offset Canceling Circuit - (1) High impedance of switching transistor Avoid switching transistor (2) Large Vth mismatch of paired transistors Offset voltage cancel circuit (3) Limited number of transistors in cascade configuration Simple current source circuit Vin Vref_top Vref+.25LSB Vref Vref-.25LSB SW Controller VRA Vref_n Counter /Operation TCA2 Regular comparator array TCA Vref_btm Resolution : +/-.25 LSB Adjustable range: +/-1.75 LSB Block Diagram of 4-bit Flash ADC Block Diagram of 4 Vref_btm Vref_top Vin Circuits of Amplifiers Circuits of Amplifiers VRA TCA Pre- amplifier 2 Comparatorlatch NAND (Error Correctin) Selector Gray Code Encoder SW Controller TCA Counter /Operation VDD Vin A B biasp Vref M1 M2 Output A M3 VDD biasp Output B M4 Vlatch Memory Digital Output Digital Output (Selectable rate) GND GND (a) Low-voltage pre-amplifier (b) Low-voltage comparator-latch 28 28

8 Chip Micrograph of ADC. VRA Comparator-latch SW Controller Memory Effect of Offset Calibration offset (mv) -1-2 no cal with cal comparator number Pre-amp. Encoder 12 8um Regenerated Waveforms Position of ADC binary code sample point (a) 2.15 GSample/s and 2.-MHz input frequency at Vdd=.9 V. binary code sample point (b) 4. GSample/s and 5.-MHz input frequency at Vdd=1.2 V. 1 Conversion Rate [GHz] 8b 1 6b b 1b 7b 1b 1b Analog Supply Voltage [V] 4b THIS WORK 6b

9 Device Model Issues Digital Circuit - Leak Model - Substrate bias effect Forward body bias Diode 2 model for forward body bias - Expansion of parameter set - Best and 4 worst model ADC - Accuracy in triode and saturation, and their boundary region - High speed simulation(after LPE) - Channel Leakage - GIDL (Gate Induce Drain Leakage) - Gate 2 Tunneling Current Gate Source Sub Leak Model Drain Junction Leak Gate Leak Channel Leak Substrate Bias Effect Necessary model for SAFBB technique - Accurate transistor model at forward body bias condition - Accurate source and drain diode model including forward direction Argument Which approach is better for high speed and low power operation - Low substrate 2 bias constant MOSFET without body bias technique - High substrate bias constant MOSFET with body bias technique Scalable MOS parameter helps to solve the argument 36 36

10 Expansion of parameter set - Each device model is assigned depending on L and W(13nm), and gate - diffusion edge distance (9nm) - hard to handle 4 poly diffusion What are the best and the worst model - The best and the worst model are depending on circuits (Random Logic, Clock Distribution, Memory, and Analog) - How to handle the distribution of characteristics of MOS transistors Accuracy of the model - Accuracy of model in triode, saturation and their boundary. - Normally accuracy in saturation region has a priority for logic design. Analog designers must first design circuits using inaccurate model for triode region. High Speed Circuit Simulation - ADC was designed first by Hspice simulation - Layout - LPE - fast circuit simulation - High speed 4simulation is necessary - Usage of Hsim (Nassda) two days simulation for 116K Tr. 4bit ADC

11 Conclusions Low Power SoC in Project ASUKA - Low voltage Logic, Analog, and Memory 3 Low Power Techniques - SA-FBB Technique - Body gating - High-speed ADC Various Device Model Issues at design 41 41

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

LMC6082 Precision CMOS Dual Operational Amplifier (jp)

LMC6082 Precision CMOS Dual Operational Amplifier (jp) Precision CMOS Dual Operational Amplifier Literature Number: JAJS760 CMOS & CMOS LMC6062 CMOS 19911126 33020 23900 11800 ds011297 Converted to nat2000 DTD Edited for 2001 Databook SGMLFIX:PR1.doc Fixed

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

高速データ変換

高速データ変換 Application Report JAJA206 V+ R 5 V BIAS Q 6 Q R R 2 Q 2 Q 4 R 4 R 3 Q 3 V BIAS2 Q 5 R 6 V Ω Q V GS + R Q 4 V+ Q 2 Q 3 + V BE V R 2 Q 5 R Op Amp + Q 6 V BE R 3 Q 7 R 4 R 2 A A 2 Buffer 2 ± Ω Ω R G V+ Q.4.2

More information

LM358

LM358 LM358 2 DC LM358 5V DC 15V DC micro SMD (8 micro SMD) LM358 LM2904 LM258 LM158 20000801 19870224 33020 23900 11800 2002 3 ds007787 Converted to nat2000 DTD added avo -23 to the first page Edited for 2001

More information

LM7171 高速、高出力電流、電圧帰還型オペアンプ

LM7171 高速、高出力電流、電圧帰還型オペアンプ Very High Speed, High Output Current, Voltage Feedback Amplifier Literature Number: JAJS842 2 1 6.5mA 4100V/ s 200MHz HDSL 100mA 15V S/N ADC/DAC SFDR THD 5V VIP III (Vertically integrated PNP) 19850223

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

LM6172 デュアル高速低消費電力、低歪み電圧帰還アンプ

LM6172 デュアル高速低消費電力、低歪み電圧帰還アンプ Dual High Speed, Low Power, Low Distortion, Voltage Feedback Amplifiers Literature Number: JAJS854 100MHz 3000V/ s 50mA 2.3mA/ 15V ADSL 5V VIP III (Vertically Integrated PNP) LM6171 Dual High Speed, Low

More information

日立評論2008年1月号 : 基盤技術製品

日立評論2008年1月号 : 基盤技術製品 Infrastructure Technology / Products HIGHLIGHTS 2008 HDD 2.5 HDD3.5 HDD 1 Deskstar 7K1000 HDD Hard Disk Drive 2006 5 PC 2.5 HDD HDD 3.5 HDD1 1 2007 3Deskstar 7K1000 3.5 HDD 1149 Deskstar 7K500 2 GMR Giant

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

LM837 Low Noise Quad Operational Amplifier (jp)

LM837 Low Noise Quad Operational Amplifier (jp) Low Noise Quad Operational Amplifier Literature Number: JAJSBB7 600 Low Noise Quad Operational Amplifier 2000 8 Converted to nat2000 DTD ds009047tl/h/9047 33020 19860602 10 V/ s ( ); 8 V/ s ( ) 25 MHz

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

189 2015 1 80

189 2015 1 80 189 2015 1 A Design and Implementation of the Digital Annotation Basis on an Image Resource for a Touch Operation TSUDA Mitsuhiro 79 189 2015 1 80 81 189 2015 1 82 83 189 2015 1 84 85 189 2015 1 86 87

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

LM193/LM293/LM393/LM 回路入り低動作電圧低オフセット電圧コンパレータ

LM193/LM293/LM393/LM 回路入り低動作電圧低オフセット電圧コンパレータ LM193,LM2903,LM293,LM393 LM193/ Low Power Low Offset Voltage Dual Comparators Literature Number: JAJSB74 2 LM293 2.0mV 2 A/D VCO MOS LM293 TTL CMOS LM293 MOS LM393 LM2903 Micro SMD 8 ( 0.3mm) Squarewave

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to-

General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to- General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 324 V LM LMV321( )/LMV358( )/LMV324( ) General Purpose, Low Voltage, Rail-to-Rail Output Operational Amplifiers 358 LMV358/324 LM358/324

More information

DS90LV V or 5V LVDS Driver/Receiver (jp)

DS90LV V or 5V LVDS Driver/Receiver (jp) DS90LV019 DS90LV019 3.3V or 5V LVDS Driver/Receiver Literature Number: JAJS563 DS90LV019 LVDS 1 / DS90LV019 Low Voltage Differential Signaling (LVDS) 1 CMOS / DS90LV019 EIA-644 IEEE1596.3 (SCI LVDS) 2

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S Overture 68W ( ) 0.1 (THD N) 20Hz 20kHz 4 68W 8 38W SPiKe (Self Peak Instantaneous Temperature ( Ke)) SOA (Safe Operating Area) SPiKe 2.0 V ( ) 92dB (min) SN 0.03 THD N IMD (SMTPE) 0.004 V CC 28V 4 68W

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

LP3470 Tiny Power On Reset Circuit (jp)

LP3470  Tiny Power On Reset Circuit (jp) Tiny Power On Reset Circuit Literature Number: JAJS547 IC ( C) CMOS IC 2.63V 2.93V 3.08V 3.65V 4.00V 4.38V 4.63V 6 (V RTH ) 2.4V 5.0V V CC (L ow ) ( ) V CC ( ) IC SOT23-5 1 : 2.63V 2.93V 3.08V 3.65V 4.00V

More information

Microsoft Word - triplexxx.doc

Microsoft Word - triplexxx.doc 12AX7 3 12AX7 6L6GC 4 EL34 4 SEND RETURN Tight Medium Loose Ultra Crunch (4, 6, 16 ) 2 各部の説明 1. POWER ON 2. STANDBY LED OFF ON 3. POWER STATUS LAMP 4. MASTER VOLUME CHANNEL 5. HAIR 15dB CLEAN 6. BODY /

More information

news

news ETL NEWS 1999.9 ETL NEWS 1999.11 Establishment of an Evaluation Technique for Laser Pulse Timing Fluctuations Optoelectronics Division Hidemi Tsuchida e-mail:tsuchida@etl.go.jp A new technique has been

More information

LTC 自己給電絶縁型コンパレータ

LTC 自己給電絶縁型コンパレータ AC 120V TECCOR 4008L4 OR EUIVALENT NEUTRAL 2N2222 HEATER 25Ω 150Ω 1k 1N4004 2.5k 5W 5.6V R1 680k 390Ω 100µF LE 47k C1 0.01µF ZC ZC COMPARISON > R = R O e B (1/T 1/T O ) B = 3807 1µF THERM 30k YSI 44008

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

資料1-3

資料1-3 WPT (2017) ( ) *JST Center of Innovation ( 13- ) Last 5X * 16 8, 15 7, 14 6 METLAB 16 20, 15 18 WPT * IEEE MTTS Wireless Power Transfer Conference ( 11-, ) MTTS TC-26 (Wireless Energy Transfer and Conversion

More information

MLA8取扱説明書

MLA8取扱説明書 (5)-2 2 (5)-2 3 (5)-2 4 5 2 3 4 5 6 7 1 2 3 4 5 6 7 8 POWER ON / OFF 1 1 n 2 3 4 5 6 7 n 6 AC IN 8 MODEL MAL8 MADE IN INDONESIA 7 6 5 4 OUTPUT +4dBu ANALOG OUTPUT +4dBu G G 3 2 1 8 7 6 5 INPUT 4 3 2 1

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp)

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp) ,Q /Q Tiny Low Power Operational Amplifier with Rail-to-Rail Input and Output Literature Number: JAJS809 CMOS SOT23-5 CMOS LMC6482/6484 PHS (PDA) PCMCIA 5-Pin SOT23 CMOS 19940216 33020 23900 11800 2006

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

LM5021 AC-DC Current Mode PWM Controller (jp)

LM5021 AC-DC Current Mode PWM Controller (jp) LM5021 LM5021 AC-DC Current Mode PWM Controller Literature Number: JAJSAC6 LM5021 AC-DC PWM LM5021 (PWM) LM5021 (25 A) 1 ( ENERGY STAR CECP ) Hiccup (Hiccup ) 8 LM5021 100ns 1MHz AC-DC PWM 5021 LM Steve

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

LM4663 2 Watt Stereo Class D Audio Pwr Amp w/Stereo Headphone Amplifier (jp)

LM4663 2 Watt Stereo Class D Audio Pwr Amp w/Stereo Headphone Amplifier (jp) 2 Watt Stereo Class D Audio Power Amplifier with Stereo Headphone Amplifier Literature Number: JAJS693 Boomer 2006 4 A very minor text edit (typo). (MC) Converted to nat2000 DTD. Few edits on Table 1 and

More information

j9c11_avr.fm

j9c11_avr.fm AVR Type: AVR-M AVRL Issue date: September 211 RoHS EU Directive 22/95/EC PBB PBDE (1/1) SMD RoHS AVR AVR-M AVRL Varistor 2Zener diode Current(A) Positive direction 1 1 1 2 1 3 1 4 1 5 Zener diode /Vz:6.8V

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Fig. 1 Schematic construction of a PWS vehicle Fig. 2 Main power circuit of an inverter system for two motors drive

Fig. 1 Schematic construction of a PWS vehicle Fig. 2 Main power circuit of an inverter system for two motors drive An Application of Multiple Induction Motor Control with a Single Inverter to an Unmanned Vehicle Propulsion Akira KUMAMOTO* and Yoshihisa HIRANE* This paper is concerned with a new scheme of independent

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

????????????MUX ????????????????????

????????????MUX ???????????????????? PGA116 PGA112 PGA113 PGA117 PGA112, PGA113 PGA116, PGA117 www.tij.co.jp µµ µµ ± µ +5V +3V AV DD 1 C BYPASS.1µF DV DD C BYPASS.1µF C BYPASS.1µF V CAL/CH CH1 3 2 1kΩ MUX CAL1 PGA112 PGA113 R F 1 Output Stage

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

FEEL Prod Grap PH_Artwork_P0AVS QSG JP A4_ _Rev.11.indd

FEEL Prod Grap PH_Artwork_P0AVS QSG JP A4_ _Rev.11.indd EUROPORT MPA40BT-PRO/MPA40BT All-in-One Portable 40-Watt PA System with Bluetooth Connectivity, Battery Operation and Transport Handle 2 EUROPORT MPA40BT-PRO/MPA40BT 3 ¼" TS 1. 2. 3. 4. 5. 6. 7. 8. 9.

More information

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO and Masaru KOBAYASHI Chassis Engineering Management

More information

Tornado Series selection SW TiCN HSS Co FAX VL PM

Tornado Series selection SW TiCN HSS Co FAX VL PM Metal Band Saw Blades Tornado Series selection SW TiCN HSS Co FAX VL PM Selection Chart Selection Chart Solids 3 Selection Teeth 4 note 1) Structurals, Tubing H section steels Light gauge steels Tube Products

More information

エミフィルによるノイズ対策 アプリケーション編

エミフィルによるノイズ対策 アプリケーション編 .pdf Noise Suppression by EMIFIL Application Guide Application Manual Cat.No.C35-2 .pdf .pdf .pdf 2 .pdf CD-ROM Power Supply CPU Gate Array RAM ROM Driver Driver Driver USB Chip Set Mouse Keyboard Display

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

IPSJ SIG Technical Report Vol.2014-EIP-63 No /2/21 1,a) Wi-Fi Probe Request MAC MAC Probe Request MAC A dynamic ads control based on tra

IPSJ SIG Technical Report Vol.2014-EIP-63 No /2/21 1,a) Wi-Fi Probe Request MAC MAC Probe Request MAC A dynamic ads control based on tra 1,a) 1 1 2 1 Wi-Fi Probe Request MAC MAC Probe Request MAC A dynamic ads control based on traffic Abstract: The equipment with Wi-Fi communication function such as a smart phone which are send on a regular

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

LM150/LM350A/LM350 3A 可変型レギュレータ

LM150/LM350A/LM350 3A 可変型レギュレータ LM150,LM350,LM350A LM150/LM350A/LM350 3-Amp Adjustable Regulators Literature Number: JAJSBC0 LM350A/LM350 3A LM350 1.2V 33V 3A 3 IC 2 & IC ADJ 6 ADJ LM350 100V ADJ LM350 ADJ 1.2V 3A LM350A 3A LM350 3A

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 63 No. 1 May 2017 Development of Simultaneous-Capture Wide-dynamic-range Technology and Global Shutter Technology for Organic Photoconductive Film Image Sensor Masashi

More information

LM3886

LM3886 Overture 68W ( ) 0.1 (THD N) 20Hz 20kHz 4 68W 8 38W SPiKe TM (Self Peak Instantaneous Temperature ( Ke)) SOA (Safe Operating Area) SPiKe 2.0 V ( ) 92dB (min) SN 0.03 THD N IMD (SMTPE) 0.004 V CC 28V 4

More information

mobicom.dvi

mobicom.dvi 13Dynamic Voltage Scaling on a Low-Power Microprocessor Johan Pouwelse 5 Koen Langendoen Henk Sips Faculty of Information Technology and Systems Delft University of Technology, The Netherlands 1 78724

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

01/DSP-R995 (01-33)

01/DSP-R995 (01-33) REMOTE CONTROL NATURAL SOUND AV AMPLIFIER DSP-R995 NATURAL SOUND AV AMPLIFIER DSP-R995 CINEMA DSP DOLBY DIGITAL POWER INPUT SELECTOR VOLUME 16 2 12 28 8 STANDBY/ON EXT. DECODER 6 2 A/B/C/D/E 1 2 3 4 5

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

MAX9471/2 DS.J

MAX9471/2 DS.J 19-0524; Rev 0; 5/06 * * ± PART TEMP RANGE PIN- PACKAGE TOP VIEW X2 X1 FSO/SCL FS1/SDA 16 17 18 19 20 + PD FS2 15 14 1 TUNE 2 13 VDD 12 VDD 11 GND MAX9471 VDDA 3 AGND 4 GND 5 CLK1 TQFN (5mm x 5mm) 10 9

More information

MAX4886 DS.J

MAX4886 DS.J 19-0807; Rev 0; 4/07 EVALUATION KIT AVAILABLE μ PART TEMP RANGE PIN- PACKAGE PKG CODE ETO+ -40 C to +85 C 42 TQFN-EP* T42359OM-1 * EYE DIAGRAM ( = 3.3V, f = 2.6GHz 600mV P-P PRBS SIGNAL+) * PRBS = PSUEDORANDOM

More information

燃焼圧センサ

燃焼圧センサ 49 Combustion Pressure Sensor Kouji Tsukada, Masaharu Takeuchi, Sanae Tokumitsu, Yoshiteru Ohmura, Kazuyoshi Kawaguchi π 1000N 150 225N 1 F.S Abstract A new combustion pressure sensor capable of measuring

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

DS90LV047A

DS90LV047A 3V LVDS 4 CMOS 4 CMOS Low Voltage Differential Signaling (LVDS) 400Mbps (200MHz) TLL/CMOS 350mV TRI-STATE 13mW ( ) PCB ENABLE ENABLE* AND TRI- STATE 4 DS90LV04 A (DS90LV048A ) ECL 1 1 Dual-In-Line 3V LVDS

More information

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

LM2940

LM2940 1A 3 1A 3 0.5V 1V 1A 3V 1A 5V 30mA (V IN V OUT 3V) 2 (60V) * C Converted to nat2000 DTD updated with tape and reel with the new package name. SN Mil-Aero: Order Info table - moved J-15 part from WG row

More information

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels).

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig. 1 The scheme of glottal area as a function of time Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig, 4 Parametric representation

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

Fig. 1. Relation between magnetron anode current and anode-cathod voltage. Fig. 2. Inverter circuit for driving a magnetron. 448 T. IEE Japan, Vol. 11

Fig. 1. Relation between magnetron anode current and anode-cathod voltage. Fig. 2. Inverter circuit for driving a magnetron. 448 T. IEE Japan, Vol. 11 High Frequency Inverter for Microwave Oven Norikazu Tokunaga, Member, Yasuo Matsuda, Member, Kunio Isiyama, Non-member (Hitachi, Ltd.), Hisao Amano, Member (Hitachi Engineering, Co., Ltd.). Recently resonant

More information

BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M Packet/sec LSI 5 1 BIST i

BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M Packet/sec LSI 5 1 BIST i 20 BIST LSI LSI Implementation of Self-Timed Ultra High Speed BIST Circuit 1090384 2009 3 5 BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M

More information

Estimation of Photovoltaic Module Temperature Rise Motonobu Yukawa, Member, Masahisa Asaoka, Non-member (Mitsubishi Electric Corp.) Keigi Takahara, Me

Estimation of Photovoltaic Module Temperature Rise Motonobu Yukawa, Member, Masahisa Asaoka, Non-member (Mitsubishi Electric Corp.) Keigi Takahara, Me Estimation of Photovoltaic Module Temperature Rise Motonobu Yukawa, Member, Masahisa Asaoka, Non-member (Mitsubishi Electric Corp.) Keigi Takahara, Member (Okinawa Electric Power Co.,Inc.) Toshimitsu Ohshiro,

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

DSP-A1

DSP-A1 NATURAL SOUND AV AMPLIFIER NATURAL SOUND AV AMPLIFIER DSP A1 CINEMA DSP 7ch DOLBY DIGITAL POWER INPUT SELECTOR VOLUME 16 20 12 28 8 STANDBY/ON DIGITAL DSP DIGITAL SOURCE AC-3 TV/DBS VCR 1 VCR 2 DVD/VCR

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information