スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide

2 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator, SON: Si on Nothing, Si on X: Si FDSOI: Fully Depleted SOI Surface Preparation: High-k: High Dielectric Constant Material, SiO 2 High SD: Source Drain SDE Deep SD SDE, SDExt.: Source Drain Extension Xj: SD well. 1e18cm -3, 5e18cm -3 Silicide: Si, NiSi: Nickel mono-silicide, CoSi 2 : Cobalt di-silicide, TiSi 2 : Titanium di-silicide, ErSix: Erbium silicide RTA: Rapid Thermal Anneal, Schottky-SD: SDE Si Silicide N D : Donor Concentration, N A : Acceptor Concentration Si/Silicide ITRS -cm 2 -µm 2 2

3 Front End Processes WG NEC * * * Selete Selete * SEAJ SEAJ * Start. Mat. WG SUMCO TECHXIV 3

4 - Starting Materials Silicidation Memory - Surface Preparation STRJ Stacked Cap. DRAM FeRAM Etch Memory DRAM Flash FeRAM PCRAM Thermal/Thin Films High-k Poly-SiGe Metal Gate Doping Starting Materials Anneal SOI Elevated S/D (SiGe) SON Contact (Silicide) Strained-Si/SiGe Si on X 4

5 1 Thermal Thin Film 2006 Updates High-k Metal FDSOI 2010 First Year of Volume Production SiON poly-si LSTP LOP HP High-k poly-si LSTP High-k Metal LOP HP LOP HP LSTP Fully Depleted SOI (FDSOI) HP HP LOP HP = High Performance Applications LOP = Low Operating Power Applications LSTP = Low Standby Power Applications 5

6 1 Stacked DRAM 2006 Updates WAS IS Year of Production DRAM M1 ½ pitch (nm) Dielectric constant Capacitor Dielectric Capacitor Dielectric Al 2 O 3, HfO 2, Ta 2 O 5 Ta 2 O 5, TiO 2 Ultrahigh k, new materials Al 2 O 3, Al 2 O 3, HfO 2, Ta 2 O 5, Ultrahigh k, Ta 2 O 5 TiO 2, ZrO 2 new materials 2007 Stacked DRAM 6

7 US FEP Raj Jamm 2005 vs. ITRS2006 update Note Alternative device designs, employing offset spacers and deeper extension junctions which preserve or even extend the effective channel length, may allow deeper extension junctions. A more comprehensive analysis will be performed in

8 2-1 Lg FET ITRS Table High Performance 0.6*SD_Xj 0.6*SDE_Xj SDE_Xj Lg SD_Xj 2*Design Rule (2*Half Pitch) 1.1*Lg 1um ( ) 1.1*Lg ~0.35*Lg SD=Source Drain SDE=Source Drain Extension 8

9 2-1 = = /10 W W 500 (500 sq) 50 9

10 2-1 45nm n HP n-fet nm 20nm W=1um 20nm (SD_Xj) 12nm 6.5nm (SDE_Xj) 45nm 5 11 Si/Silicide +SD SDE 1 <<SD 2 WG 10

11 2-1 ITRS Table HP LSTP HP LSTP 1/2 (nm) Lg (nm) SDE (nm) SD (nm) n-ch SDE (Ω/ ) Si-Silicide (Ω-um2) p-ch SDE (Ω/ ) Si-Silicide (Ω-um2) (na/um) Si-Silicide MPU(HP) 20%( ) SDE 15%( 3%) 11

12 2-2 SDE ITRS SDE SDE nm ITRS'99(150~35nm) ITRS'01(130~32nm) ITRS'05( 80~32nm) 130nm node 100nm node HP nm 0 ITRS 99 ITRS 01 ITRS 01 ITRS 03 ITRS 03 ITRS 05 msec : Si SD well =1e18cm -3, 5e18cm -3 12

13 2-2 SDE msec Lamp or Laser 1msec 100µm Diffusion-less P. Timans, MRS2006 spring, C1.1 RTA mm 1cm 13

14 2-2 SDE msec - - Flash Lamp Cap Laser( =10.6µm) (Brewster ) Cap Cap Cap Flash Lamp Laser( =0.81µm) 14

15 2-2 SDE ITRS nm n SDE HP Xj=6.5nm, LOP Xj=8.1nm, LSTP Xj=9.8nm ( 1 3) B(11) 100eV BF 2 (49) As(75) 500eV ( ) =? B 18 H 22 Cluster Ion Beam Plasma Dope 15

16 2-2 SDE SDE Ge+C+B, P+C Si.Electromagnetic Anneal Schottky-SD SDE Si Silicide 16

17 2-3 Silicide Si/Silicide +SD 45nm HP n-fet 90nm 20nm W=1um 20nm (SD_Xj) R C R SD 100 x 55nm/1µm SD 50nm 90nm 5 ITRS2006 Si-Silicide 4.8 -µm 2 R C =4.8/0.09=53 R SD NiSi 17

18 2-3 Silicide NiSi Si P (cm -3 ) NiSi P n + -Si Ni Si (nm) Si, Ni (cps) µm n+ -type N D : 2x10 20 cm Si Schottky (ev) NiSi NiSi 2 CoSi 2 TiSi 2 p + -type N A : 1x10 20 cm -3 NiSi NiSi 2 CoSi 2 TiSi NiSi/n+Si NiSi/p+Si Schottky SiGe, 18

19 2-3 Silicide SD 45nm HP n-fet 90nm 20nm R C SiO 2 R SD SOI Si R SD Si/Silicide SOI Ultra Thin Body SOI Si 19

20 2-4 Ioff Si LSTP 4( ) 6 ( ) HP 8( ) 10 ( ) Silicide Silicide Si 50nm Id(A/um) 1e-03 1e-06 1e-09 HP LSTP 1e Vg(V) 20

21 2-5 45nm 32nm HP LSTP HP LSTP 1/2 (nm) Lg (nm) SDE (nm) SD (nm) n-ch SDE (Ω/ ) Si-Silicide (Ω-um2) p-ch SDE (Ω/ ) Si-Silicide (Ω-um2) Dual Silicide n-ch ErSi 2 (na/um) SDE. SDE 10 15nm Lg 10nm FET. 21

22 2-5 Breakthrough - Schottky_SD, SDE Si Silicide - SDE SDE Si Silicide Si-Silicide SIlicide,, (+ ) Si Deep-SD, SOI A. Kinoshita et al., VL2005, p.158 Q.T.Zhao et al., IWJT2006, p

23 ITRS2006 update High-k/Metal Gate, FDSOI Stacked DRAM STRJ SDE. msec Integration Si-Silicide 45nm NiSi Schottky-SD SDE,Si-Silicide, 23

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 (

Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 ( Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 ( トレセンティーテクノロジーズ ) 内田英次 ( 沖 ) 宮武浩 / 藤原伸夫 ( 三菱 ) 北島洋 (Selete)

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2004 SPring-8 2004/6/21 CMOS 2004 2007 2010 2013 nm 90 65 45 32 (nm) 1.2 0.9 0.7 0.6 High-performance Logic Technology Requirements (ITRS 2003) 10 Photoelectron Intensity (arb.units) CTR a-sio2 0.1 HfO

More information

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 0 過去 40 年の

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション STRJ ITRS 2003 LSI 2004.3.4. MIRAI 100nmCMOS - Si SOI CMOS SOI MOSFET CMOS 100nmCMOS trade-off Sub 100 nm CMOS trade-off x j (ext. conc.) Nsub Vdd Vth design EOT S or Si Nsub EOT something S/D EOT SiGe

More information

untitled

untitled Tokyo Institute of Technology high-k/ In.53 Ga.47 As MOS - Defect Analysis of high-k/in.53 G a.47 As MOS Capacitor using capacitance voltage method,,, Darius Zade,,, Parhat Ahmet,,,,,, ~InGaAs high-k ~

More information

2003

2003 第 4 章 WG3 FEP( フロントエンドプロセス ) 4-1 はじめに 2008 年までは WG3 のカバーする技術領域は Starting Materials Surface Preparation Thermal/Thin Film Doping Front End Etch というトランジスタ形成の要素プロセスと DRAM Flash Memory PCM(Phase Change Memory)

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション WG3 Front-End Processes(FEP) 新材料 新構造の導入を支える FEP 技術 水島一郎 ( 東芝 ) 内容 STRJ FEP のメンバー スコープ 今年度の活動 新材料 新構造の導入と FEP 技術 Si ウェーハ 450mm 化状況 まとめ 略号 FeRAM: Ferroelectric Random Access Memory HP: High Performance /

More information

スプリングプローブコネクタ、ライトアングルコネクタ

スプリングプローブコネクタ、ライトアングルコネクタ スフ リンク フ ローフ コネクタ 8Y254B eries pring Probe Connectors MT type pecifications Dielectric strength : C300Vrms 1minute Insulation resistance : 1000MΩmin Contact resistance : 50mΩmax * Durability : 100,000

More information

スライド 1

スライド 1 Work in Progress - Do not publish STRJ WS: March 4, 2011, WG3 FEP 1 STRJ WG3(FEP) 活動報告 - 今後の FEP 技術 - 2011 年 3 月 4 日 北島洋 ( ルネサスエレクトロニクス ) Work in Progress - Do not publish STRJ WS: March 4, 2011, WG3 FEP

More information

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama 1 2 3 4 5 6 7 8 9 10 590nm 2200nm 0 1.739 1.713 5 1.708 1.682 10 1.678 1.652 0-10 0.061061 0.061061 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 1.0 x1.0 0.5 x0.5 0.2 x0.2 0.1 x0.1 bg/30ms

More information

,,., (,, SiO 2, Si-N, ),,,,,.,.,,, (Schottky). [ ].,..,.,., 1 m µm 10., 10 5, [ ] (6N-103)..,.,. [ ] 1. (,, ) :,.,,.., (HF),.

,,., (,, SiO 2, Si-N, ),,,,,.,.,,, (Schottky). [ ].,..,.,., 1 m µm 10., 10 5, [ ] (6N-103)..,.,. [ ] 1. (,, ) :,.,,.., (HF),. 17 2 2.1,,., (,, SiO 2, Si-N, ),,,,,.,.,,, (Schottky). [ ].,..,.,., 1 m 3 0.1 µm 10., 10 5, 10 7. [ ] (6N-103)..,.,. [ ] 1. (,, ) :,.,,.., (HF),. 18 2,,.,,. 2.,,,.,,. 2.1. 19 2.1.1 1. 1, (Schottky),,,.

More information

スライド 1

スライド 1 モデリング & シミュレーションの 現状と経済的効果 モデリング / シミュレーション WG (WG10) 佐藤成生青木伸俊 國清辰也 木村光紀 泉直希 麻多進 海本博之 林洋一 藤原秀二 西尾修 中村光利 小方誠司 和田哲典 佐野伸行 三浦道子 大野隆央 谷口研二 小谷教彦 STRJ WS: March 6, 2009, WG10 1 構成メンバー 氏名組織役割主担当領域 佐藤成生 富士通マイクロエレクトロニクス主査

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

Frontier Simulation Software for Industrial Science

Frontier Simulation Software for Industrial Science PACS-CS FIRST 2005 2005 2 16 17 2 28 2 17 2 28 3 IT IT H14~H16 CHASE CHASE-3PT Protein Protein-DF ABINIT-MP 17 2 28 4 CMOS Si-CMOS CMOS-LSI CMOS ATP 10nm 17 2 28 5 17 2 28 6 CMOS CMOS-LSI LSI 90nm CMOS

More information

過電流保護用/突入電流抑制用/過熱検知用"ポジスタ"

過電流保護用/突入電流抑制用/過熱検知用ポジスタ r rr r r! r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r 9 8 7 9 8 7 6 5 4 6 5 4 3 3-3 4 5 6-3 4 5 6 4 8 6 4 8 6 4-3

More information

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI)

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI) DA DA シンポジウム 25 27 DAS25 Design Automation Symposium 25/8/26 28nm UTBB FDSOI SOI 28nm UTBB FDSOI Analysis of Soft Error Rates in a 28nm UTBB FDSOI Structure by DeviceLevel Simulation Shigehiro Umehara

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Drain Voltage (mv) 4 2 0-2 -4 0.0 0.2 0.4 0.6 0.8 1.0 Gate Voltage (V) Vds [V] 0.2 0.1 0.0-0.1-0.2-10 -8-6 -4-2 0 Vgs [V] 10 1000 1000 1000 1000 (LSI) Fe Catalyst Fe Catalyst Carbon nanotube 1~2 nm

More information

スライド 1

スライド 1 WG6(PIDS 及び RF&AMS) 活動報告 ロジックおよびメモリデバイスの スケーリングトレンド ~FinFET で大きく変わるロジックトレンド STRJ WS 2013 2014 年 3 月 7 日品川 : コクヨホール WG6 6 主査 : 尾田秀一 ( ルネサスエレクトロニクス ) 1 用語集 PIDS (Process Integration, Devices, and Structures)

More information

スライド 1

スライド 1 Matsuura Laboratory SiC SiC 13 2004 10 21 22 H-SiC ( C-SiC HOY Matsuura Laboratory n E C E D ( E F E T Matsuura Laboratory Matsuura Laboratory DLTS Osaka Electro-Communication University Unoped n 3C-SiC

More information

Tornado Series selection SW TiCN HSS Co FAX VL PM

Tornado Series selection SW TiCN HSS Co FAX VL PM Metal Band Saw Blades Tornado Series selection SW TiCN HSS Co FAX VL PM Selection Chart Selection Chart Solids 3 Selection Teeth 4 note 1) Structurals, Tubing H section steels Light gauge steels Tube Products

More information

JJTRC 2005

JJTRC 2005 Work in Progress - Do not publish STRJ WS: March 10, 2006, WG7 A&P 1 Jisso 2006310 STRJ WG-7 () Work in Progress - Do not publish STRJ WS: March 10, 2006, WG7 A&P 2 ( JEITA Chairman: () Chairman: () :

More information

PIDS 委員 杉井寿博 ( リータ ー 富士通 ) 井上靖朗 ( サフ リータ ー 三菱 ) 井田次郎 ( 幹事 沖 ) 長島直樹 ( ソニー ) 只木芳隆 ( 日立 ) 麻殖生健二 ( 日立 ) 笠井直記 (Selete) 平本俊郎 ( 東京大学 ) 芝原健太郎 ( 広島大学 ) 澤田静雄 (

PIDS 委員 杉井寿博 ( リータ ー 富士通 ) 井上靖朗 ( サフ リータ ー 三菱 ) 井田次郎 ( 幹事 沖 ) 長島直樹 ( ソニー ) 只木芳隆 ( 日立 ) 麻殖生健二 ( 日立 ) 笠井直記 (Selete) 平本俊郎 ( 東京大学 ) 芝原健太郎 ( 広島大学 ) 澤田静雄 ( ロードマップの課題と今後のスケーリングについて [ 内容 ] 1. ロードマップ関連の課題 2. 今後のスケーリングについての調査 3. 新探求デバイスについて PIDS (Process Integration & Device Structures) WG 杉井 ( 富士通 ) 平本 ( 東京大学 ) PIDS 委員 杉井寿博 ( リータ ー 富士通 ) 井上靖朗 ( サフ リータ ー 三菱 )

More information

メタルバンドソー

メタルバンドソー Metal Band Saw Blades Tornado Series selection TiCN HSS Co FAX FMX PM VL Selection Chart Selection Chart Solids Selection Teeth 3 note 1) Structurals, Tubing H section steels Light gauge steels Tube 4

More information

MOSFET HiSIM HiSIM2 1

MOSFET HiSIM HiSIM2 1 MOSFET 2007 11 19 HiSIM HiSIM2 1 p/n Junction Shockley - - on-quasi-static - - - Y- HiSIM2 2 Wilson E f E c E g E v Bandgap: E g Fermi Level: E f HiSIM2 3 a Si 1s 2s 2p 3s 3p HiSIM2 4 Fermi-Dirac Distribution

More information

/ Motor Specifications Direct Motor Drive Ball Screws / Precision Ball Screw type MB / MB MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-p

/ Motor Specifications Direct Motor Drive Ball Screws / Precision Ball Screw type MB / MB MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-p / Motor Specifications MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-pahse Stepping Motor is mounted directly onto the shaft end of a C3 grade precision Ball Screw, which is suitable for high

More information

3次元LSI集積化技術

3次元LSI集積化技術 3 LSI 3D LSI Integration Technology あらまし LSI 33DI LSI Si TSV Wafer on Wafer WOW 3 45 nm CMOS LSI FeRAM 10 m 200 3 LSI Abstract The conventional enhancement of LSIs based on Moore s Law is approaching its

More information

1 2 3

1 2 3 INFORMATION FOR THE USER DRILL SELECTION CHART CARBIDE DRILLS NEXUS DRILLS DIAMOND DRILLS VP-GOLD DRILLS TDXL DRILLS EX-GOLD DRILLS V-GOLD DRILLS STEEL FRAME DRILLS HARD DRILLS V-SELECT DRILLS SPECIAL

More information

Linda

Linda 1 METROLOGY ITRS IC IC 1/10 FIN IC 2 3 SOI ITRS 2003 10 32nm FINFET High-k Low-k FEP SOI 22nm Cu ( ) CIM computer integrated manufacturing APC advanced process control CoO(Cost Of Ownership) 3 2005 CD

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 12 回窒化物半導体応用研究会 2011 年 11 月 10 日 ノーマリオフ型 HFET の高性能化 前田就彦 日本電信電話株式会社 NTT フォトニクス研究所 243-0198 神奈川県厚木市森の里若宮 3-1 E-mail: maeda.narihiko@lab.ntt.co.jp 内容 (1) 電力応用におけるノーマリオフ型デバイス (2) / HFETにおけるノーマリオフ化 - デバイス構造のこれまでの展開

More information

cm H.11.3 P.13 2 3-106-

cm H.11.3 P.13 2 3-106- H11.3 H.11.3 P.4-105- cm H.11.3 P.13 2 3-106- 2 H.11.3 P.47 H.11.3 P.27 i vl1 vl2-107- 3 h vl l1 l2 1 2 0 ii H.11.3 P.49 2 iii i 2 vl1 vl2-108- H.11.3 P.50 ii 2 H.11.3 P.52 cm -109- H.11.3 P.44 S S H.11.3

More information

untitled

untitled Recent Our Activities in Si FET Research November 3, 29 IIT Madras, India Hiroshi Iwai Tokyo Institute of Technology Europe 78 Asia 847 North America 12 Africa 16 Oceania 5 South America 24 Total 982 (As

More information

DIN Connector_p2-25.qxd

DIN Connector_p2-25.qxd INDEX 1 DIN Connectors Varieties of DIN Connectors, Kinked Contact and One Touch Lock Metal Tab Kinked Contacts One Touch Lock Metal Tabs 2 Spec Sheet Soldering/Wire wrapping type Pitch Rated current 3A

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 1 45nm 2 2004 2007 2010 90* 65 45 4 10 25 * 100 10001 H16 45 2001-2003 2004-2007 65 45 MIRAI 3 Cu n+ n+ p+ p+ p n nmos pmos ITRS 2004 2007 2010 2013 2016 3.5 k 3.0 2.5 2.0 ITRS2001 ITRS2003 Low-k 1.5 1.0

More information

Influence of Material and Thickness of the Specimen to Stress Separation of an Infrared Stress Image Kenji MACHIDA The thickness dependency of the temperature image obtained by an infrared thermography

More information

untitled

untitled 254nm UV TiO 2 20nm :Sr 5 Ta 4 O 15 3 4 KEY-1 KEY-2 (Ti,Nb,Ta) 5 KEY-1 KEY-2 6 7 NiO/ Sr 2 Ta 2 O 7 mmol h -1 g -1 20 15 10 5 H 2 O 2 H 2 O 2 0 0 2 4 6 8 10 12 NiO/Sr 2 Ta 2 O 7 The synthesis of photocatalysts

More information

Introduction to Microfabrication

Introduction to Microfabrication 2005 Introduction to Microfabrication 1 1.1 Microfabrication disciplines Microfabrication technologies IC industry and related industries MEMS, solar cells, flat-panel displays, optelectronics In-plane

More information

I N S T R U M E N T A T I O N & E L E C T R I C A L E Q U I P M E N T Pressure-resistant gasket type retreat method effective bulk compressibility Fro

I N S T R U M E N T A T I O N & E L E C T R I C A L E Q U I P M E N T Pressure-resistant gasket type retreat method effective bulk compressibility Fro Cable Gland This is the s to use for Cable Wiring in the hazardous location. It is much easier to install and maintenance and modification compared with Conduit Wiring with Sealing Fitting. The Standard

More information

0.45m1.00m 1.00m 1.00m 0.33m 0.33m 0.33m 0.45m 1.00m 2

0.45m1.00m 1.00m 1.00m 0.33m 0.33m 0.33m 0.45m 1.00m 2 24 11 10 24 12 10 30 1 0.45m1.00m 1.00m 1.00m 0.33m 0.33m 0.33m 0.45m 1.00m 2 23% 29% 71% 67% 6% 4% n=1525 n=1137 6% +6% -4% -2% 21% 30% 5% 35% 6% 6% 11% 40% 37% 36 172 166 371 213 226 177 54 382 704 216

More information

10 117 5 1 121841 4 15 12 7 27 12 6 31856 8 21 1983-2 - 321899 12 21656 2 45 9 2 131816 4 91812 11 20 1887 461971 11 3 2 161703 11 13 98 3 16201700-3 - 2 35 6 7 8 9 12 13 12 481973 12 2 571982 161703 11

More information

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130-

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130- L i t r o n T o t a l L a s e r C a p a b i l i t y Nano Series Ultra Compact Pulsed Nd:YAG Lasers Product Range Specification Nano Range Specification Stable & Stable Telescopic Resonators Model Nano

More information

PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM

PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM 3 Flutes Series Features Thanks to 3 flutes ball nose geometry, all of that reach

More information

Operation_test_of_SOFIST

Operation_test_of_SOFIST ILC :SOFIST 2 29 1 18 SOI ILC SOI SOFIST SOFISTver.1 SOFISTver.1 SOFIST SOFISTver.1 S/N BPW 1 1 4 1.1............... 4 1.1.1... 4 1.1.2... 5 1.2 ILC... 6 1.2.1 ILC... 6 1.2.2 ILD...........................

More information

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2 Introduction TEG 2005.10.4 @KEK 1 1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2005.10.4 @KEK 2 SOI 2005.10.4 @KEK 3 SOI : Smart Cut (UNIBOND) by SOITEC Low-R Hi-R 2005.10.4

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

Description

Description Metal Hybrid Inductor Description Metal Hybrid Inductor Magnetically shielded Suitable for Large Current Size: 4.3 x 4.3 x H2.1 mm Max. Product weight:.18g (Ref.) Halogen Free available Operating temperature

More information

SC210301 Ł\†EŒÚ M-KL.ec6

SC210301 Ł\†EŒÚ M-KL.ec6 30 36 01 02 07 08 05 95 11 94 11 97 13 91 13 9T 14 15 15 96 16 BE 16 BF 16 BG 17 CL 17 00 17 17 17 1 180 28 28 180 2 180 181 60 180 180 90 32 180 30 15 29 29 30 14 3 15 30 29 29 14 30 14 19 19 30 30 22

More information

380-厚板06

380-厚板06 Development of Ironmaking Technology Abstract The Japanese steel industry has a long history of introducing new and innovative technologies in the field of ironmaking. The new technologies introduced during

More information

着色斜め蒸着膜の光学的性質~無機偏光膜への応用

着色斜め蒸着膜の光学的性質~無機偏光膜への応用 Anisotropy in the Optical Absorption of Metal-insulator Obliquely Deposited Thin Films The Application for an Inorganic Polarizer Motofumi Suzuki, Yasunori Taga Abstract An attempt has been made to clarify

More information

5 11 3 1....1 2. 5...4 (1)...5...6...7...17...22 (2)...70...71...72...77...82 (3)...85...86...87...92...97 (4)...101...102...103...112...117 (5)...121...122...123...125...128 1. 10 Web Web WG 5 4 5 ²

More information

untitled

untitled [] 03084 [Pieters et al. 1993] m 21 600-1600 nm 8 nm 8 nm9 km 20 km SN 200 [ MT-300 F5.5 150 cm][ ] [600-1000 nm][1000-1600 nm] CCD [SBIG ST-7E Si-CCD ][SU IncSU320-1.7RT-DInGaAs-CCD ] PC [Phillips co.

More information

untitled

untitled 2013 74 Tokyo Institute of Technology AlGaN/GaN C Annealing me Dependent Contact Resistance of C Electrodes on AlGaN/GaN, Tokyo Tech.FRC, Tokyo Tech. IGSSE, Toshiba, Y. Matsukawa, M. Okamoto, K. Kakushima,

More information

** Department of Materials Science and Engineering, University of California, Los Angeles, CA 90025, USA) Preparation of Magnetopulmbite Type Ferrite

** Department of Materials Science and Engineering, University of California, Los Angeles, CA 90025, USA) Preparation of Magnetopulmbite Type Ferrite ** Department of Materials Science and Engineering, University of California, Los Angeles, CA 90025, USA) Preparation of Magnetopulmbite Type Ferrite Thin Films by Dip-Coating Method and Magnetic Properties

More information

20 12,, 59 q r 2 2.1 Fig.2 [3] Fig.3 1cm Fig.2 Schematic of experimental apparatus for measuring interfacial thermal resistance. Fig.3 Surface morphol

20 12,, 59 q r 2 2.1 Fig.2 [3] Fig.3 1cm Fig.2 Schematic of experimental apparatus for measuring interfacial thermal resistance. Fig.3 Surface morphol 58 *1, *1, * 2 Mechanism of Heat Transfer through Mold Flux in Continuous Casting Mold By Hiroyuki Shibata, Shin-ya Kitamura and Hiromichi Ohta 1 K.C.Mills and A.B.Fox [1] [2] Fig.1 q c q r q t q t = q

More information

note2.dvi

note2.dvi 8 216614 2.4 Joh Bardee, William Shockley, Walter Brattai. 1948 Bell William Shockley BrattaiBardee Shockley 1947 (12/16 23)Shockley BrattaiBardee (Trasistor, TrasferResistor ) Shockley 1/23 1 [2] 2.4.1

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

M54640P データシート

M54640P データシート お客様各位 カタログ等資料中の旧社名の扱いについて 200 年 4 月 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

修士論文

修士論文 SAW 14 2 M3622 i 1 1 1-1 1 1-2 2 1-3 2 2 3 2-1 3 2-2 5 2-3 7 2-3-1 7 2-3-2 2-3-3 SAW 12 3 13 3-1 13 3-2 14 4 SAW 19 4-1 19 4-2 21 4-2-1 21 4-2-2 22 4-3 24 4-4 35 5 SAW 36 5-1 Wedge 36 5-1-1 SAW 36 5-1-2

More information

2 1 7 - TALK ABOUT 21 μ TALK ABOUT 21 Ag As Se 2. 2. 2. Ag As Se 1 2 3 4 5 6 7 8 9 1 1 2 3 4 5 6 7 8 9 1 1 2 3 4 5 6 7 8 9 1 Sb Ga Te 2. Sb 2. Ga 2. Te 1 2 3 4 5 6 7 8 9 1 1 2 3 4 5 6 7 8 9 1 1 2 3 4

More information

High performance PM-HSS Drills Powder HSS with SG Coating and the tool life is 2- times of conventional coated drills. Extremely precise positioning a

High performance PM-HSS Drills Powder HSS with SG Coating and the tool life is 2- times of conventional coated drills. Extremely precise positioning a SG Drill Series High performance PM-HSS Drills High performance PM-HSS Drills Powder HSS with SG Coating and the tool life is 2- times of conventional coated drills. Extremely precise positioning and Stable

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション WG6(PIDS 及び RF&AMS) 活動報告 ITRS2.0~ デバイス微細化の終焉と 3D Functional Scaling STRJ WS 2015 2016 年 3 月 4 日品川 : コクヨホール WG6 主査 : 福崎勇三 ( ソニー ) WG6 副主査 : 井上裕文 ( 東芝 ) Work in Progress - Do not publish STRJ WS: March 4,

More information

03_委託テーマ発表資料(その2)(p.89-p.134).pdf

03_委託テーマ発表資料(その2)(p.89-p.134).pdf 89 MEMS 2 / 5-0 0-20 90 3 Beyond-CMOS CNT CNT CNT NEC 4 NEDO (80 NEDO 2008.05 Nature Nanotechnology NEDO (8 22 CNT CNT NEDOPJ CNT NEDO M 3 5 Nature Nanotechnology 3, 289-294 (2008) 6 9 7 8 92 9 (!!! '!!!

More information

ArF, KrF,, CO 2 ) X MFE ITER IFE ns, MJ/ ns, MJ/ ms, MJ/ ELM JT60-SA, ITER, DEMO [µm] W 65kV 2.3A [ ] Simple estimation of the threshold thermal load on divertor surface with ELM For Carbon Divertor Case

More information

cms.pdf

cms.pdf RoHS compliant INTERNAL STRUTURE FEATURES Part name over Slider Housing Slider contact Fixed contact Terminal pin lick spring Ground terminal Material Steel (SP), Tin-plated Polyamide opper alloy, Gold-plated

More information

Microsoft Word - 章末問題

Microsoft Word - 章末問題 1906 R n m 1 = =1 1 R R= 8h ICP s p s HeNeArXe 1 ns 1 1 1 1 1 17 NaCl 1.3 nm 10nm 3s CuAuAg NaCl CaF - - HeNeAr 1.7(b) 2 2 2d = a + a = 2a d = 2a 2 1 1 N = 8 + 6 = 4 8 2 4 4 2a 3 4 π N πr 3 3 4 ρ = = =

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

SGドリルシリーズ_CC2015.indd

SGドリルシリーズ_CC2015.indd SG Drill Series High performance PMHSS Drills High performance PMHSS Drills Powder HSS with SG Coating and the tool life is 2 times of conventional coated drills. Extremely precise positioning and Stable

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

Yano E plus見本誌200912

Yano E plus見本誌200912 2009.12 E Yano plus www.yano.co.jp/eplus/ LED EL Si 1,2,3) TFT1986 FETField Effect Transistor 2000 1cm 2 /Vs TFT Si-TFT TFTThin Film Transistor TFT Si TFT TFT TFT Si TFT TFT FETField Effect Transistor

More information

日立評論2008年1月号 : 基盤技術製品

日立評論2008年1月号 : 基盤技術製品 Infrastructure Technology / Products HIGHLIGHTS 2008 HDD 2.5 HDD3.5 HDD 1 Deskstar 7K1000 HDD Hard Disk Drive 2006 5 PC 2.5 HDD HDD 3.5 HDD1 1 2007 3Deskstar 7K1000 3.5 HDD 1149 Deskstar 7K500 2 GMR Giant

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 1 2 km/h) 10000 Boeing 747 MIPS VS 15 1964 210km300km) ISDN 3 4 5 / () OS 6 7 8 e 11 201 9 10 11 - Business Data Applications Technology 12 EA AsIs ToBe As Is ToBe Business Data Applications Technology

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

untitled

untitled 2008-11/13 12 4 12 5 401 501 702 401 501 A-1 9:00-10:30 B-1 9:15-10:30 C-1 9:00-10:30 A-5 9:00-10:30 B-5 9:15-10:30 A A-2 10:45-12:15 B-2 10:45-12:15 C-2 10:45-12:15 A-6 10:45-12:15 B-6 10:45-12:15 A B

More information

Direct Motor Drive Lead Screws / Resin Lead Screw type RM / RM RM Resin Lead Screw type RM / Resin MoBo 2 MRH 2 Features A 2-phase Stepping Motor is m

Direct Motor Drive Lead Screws / Resin Lead Screw type RM / RM RM Resin Lead Screw type RM / Resin MoBo 2 MRH 2 Features A 2-phase Stepping Motor is m RM Resin Lead Screw typerm / Resin MoBo MRH Features A -phase Stepping Motor is mounted directly onto the shaft end of a Resin Lead Screw, which is multi-use product. Lead Screw Shaft is ideally constructed

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

OSG Product Catalogue VOL-2.pdf

OSG Product Catalogue VOL-2.pdf IPG CARBIDE END MILLS & DRILLS S SERIES 2 FLUTE SHORT SMG-EDS 2 FLUTE SHORT WITH PREMIER COATING TA-SMG-EDS S S 1 1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0 6.0 7.0 8.0 9.0 10.0 11.0 12.0 14.0 16.0 18.0 20.0 PRe

More information

untitled

untitled 27.2.9 TOF-SIMS SIMS TOF-SIMS SIMS Mass Spectrometer ABCDE + ABC+ DE + Primary Ions: 1 12 ions/cm 2 Molecular Fragmentation Region ABCDE ABCDE 1 15 atoms/cm 2 Molecular Desorption Region Why TOF-SIMS?

More information

製紙用填料及び顔料の熱分解挙動.PDF

製紙用填料及び顔料の熱分解挙動.PDF Thermogravimetric analysis of pigments and fillers for papermaking Toshiharu Enomae Graduate School of Agricultural and Life Sciences Contact e-mail address: enomae@psl.fp.a.u-tokyo.ac.jp A2 350 450 A2

More information

untitled

untitled 20101221JST (SiC - Buried Gate Static Induction Transistor: SiC-BGSIT) SOURCE GATE N source layer p + n p + n p + n p+ n drift layer n + substrate DRAIN SiC-BGSIT (mωcm 2 ) 200 100 40 10 4 1 Si limit

More information

2

2 Rb Rb Rb :10256010 2 3 1 5 1.1....................................... 5 1.2............................................. 5 1.3........................................ 6 2 7 2.1.........................................

More information

技術研究報告第26号

技術研究報告第26号 1) 2) 3) 250Hz 500Hz RESEARCH ON THE PHYSICAL VOLUME OF THE DYNAMIC VIBRATION RESPONSE AND THE REDUCTION OF THE FLOOR IMPACT SOUND LEVEL IN FLOORS OF RESIDENTIAL HOUSING Hideo WATANABE *1 This study was

More information

土木学会構造工学論文集(2009.3)

土木学会構造工学論文集(2009.3) Vol. A (9 3 Slip resistance and fatigue strength of friction type of high strength bolted connections with laser cutting holes Eiji Iwasaki, Tatsuya Yamano, Takeshi Mori ( 94-2188 163-1 ( 649-111 137-1

More information

スライド 1

スライド 1 WG11 WG11 WECCWG ) WG NECEL) NECEL SEAJ/ SEAJ/ 1 ITRS 2005 4 (YMDB) --- MetLER/LWR LithoPIDS YEWG11WECCWG YEYMDB WWDefect Budget Survey Met /YE ITRSDifficult Challenge YMDB: Yield Model & Defect Budget

More information

Neutron yield M.R. Hawkesworth, Neutron Radiography: Equipment and Methods, Atomic Energy Review 15, No. 2, , n µc -1 = n/(µa s) ~10 12 n

Neutron yield M.R. Hawkesworth, Neutron Radiography: Equipment and Methods, Atomic Energy Review 15, No. 2, , n µc -1 = n/(µa s) ~10 12 n Cross Section 7MeV Proton Linac AFRD, LBL (courtesy of Jani Reionen) LINAC SYSTEMS Applied Pulsed Power Plasma Target D+D reaction driven by LASER Neutron yield M.R. Hawkesworth, Neutron Radiography: Equipment

More information

No EV 26 Development of Crash Safety Performance for EV Ichiro Kamimoto Masaki Motoki Masaki Ueno SKYACTIV engine HEV Hybrid Electric Ve

No EV 26 Development of Crash Safety Performance for EV Ichiro Kamimoto Masaki Motoki Masaki Ueno SKYACTIV engine HEV Hybrid Electric Ve No.302012 EV 26 Development of Crash Safety Performance for EV 1 2 3 Ichiro Kamimoto Masaki Motoki Masaki Ueno SKYACTIV engine HEVHybrid Electric VehicleBEVBattery Electric Vehicle, Summary There are growing

More information

untitled

untitled MOSFET 17 1 MOSFET.1 MOS.1.1 MOS.1. MOS.1.3 MOS 4.1.4 8.1.5 9. MOSFET..1 1.. 13..3 18..4 18..5 0..6 1.3 MOSFET.3.1.3. Poon & Yau 3.3.3 LDD MOSFET 5 3.1 3.1.1 6 3.1. 6 3. p MOSFET 3..1 8 3.. 31 3..3 36

More information

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt シリコンナノワイヤ pfet における正孔移動度 平本俊郎陳杰智, 更屋拓哉東京大学生産技術研究所 hiramoto@nano.iis.u-tokyo.ac.jp 1. ナノワイヤトランジスタの位置付け 2. ナノワイヤ FET の移動度測定 3. ナノワイヤ nfet と pfet の移動度 4. まとめ 本研究の一部は,NEDO のプロジェクト ナノエレクトロニクス半導体材利用 新構造なの電子デバイス技術開発

More information