A シンポジウム esign Automation ymposium A216 MOFET NBTI NBTI 2 NBTI NBTI NBTI [6] NBTI 2 NBTI NBTI NBTI 1 ate ate N-well N-well V PMO ate (a) PMO

Size: px
Start display at page:

Download "A シンポジウム esign Automation ymposium A216 MOFET NBTI NBTI 2 NBTI NBTI NBTI [6] NBTI 2 NBTI NBTI NBTI 1 ate ate N-well N-well V PMO ate (a) PMO"

Transcription

1 A シンポジウム esign Automation ymposium A216 NBTI 1 1 NBTI (Negative Bias Temperature Instability) (RBB) NBTI RBB RBB NBTI 65 nm OI (ilicon on Insulator) RBB NBTI RBB V 1 V 4 RBB NBTI RBB V 1 V 77 Measurements and Evaluations of ubstrate Bias ependence with Aging egradation by NBTI Ryo Kishida 1 Kazutoshi Kobayashi 1 Abstract: Aging degradation caused by NBTI (Negative Bias Temperature Instability) has become a significant concern with the miniaturization of electronic devices. Although RBB (Reverse Body Bias) mitigates power consumption on the stand-by mode, it has been difficult to predict degradation of integrated circuits to change NBTI-induced degradation by RBB. We measure frequencies of ring oscillators fabricated in 65 nm thin buried oxide OI (ilicon on Insulator) process to evaluate NBTI by RBB. At constant operation speed, NBTI is accelerated because electric field in a gate oxide increases. egradation rate is four times larger from to 1 V of RBB from measurement results. At constant supply voltage, NBTI is suppressed by RBB because threshold voltage increases and trapped carriers to the gate oxide decrease. egradation rate decreases by 77% from to 1 V of RBB from measurement results [1] NBTI (Negative Bias Temperature Instability) [2] NBTI 1 [3] 1 epartment of Electronics, Kyoto Institute of Technology NBTI 2 NBTI NBTI [4] NBTI NBTI NBTI [5] c 216 Information Processing ociety of Japan 5

2 A シンポジウム esign Automation ymposium A216 MOFET NBTI NBTI 2 NBTI NBTI NBTI [6] NBTI 2 NBTI NBTI NBTI 1 ate ate N-well N-well V PMO ate (a) PMO ate V + RBB Vth P-well P-well (b) N RBB NMO NMO (a) N-well V Pwell N (b) (RBB) N-well V P-well ate Vth V + RBB BOX ~ 1 nm (a) N-well V P-well N PN ON (RBB) (FBB) 2 1 (b) RBB RBB N-well V RBB P-well RBB RBB FBB N-well V FBB P-well FBB (1) [7] V th = V th + γ ( ϕ s + V sb ) ϕ s (1) 2 OTB BOX 1 nm V th V th γ ϕ s V sb BOX (Buried : ) OI (ilicon on Insulator) 2 OTB (ilicon on Thin BOX) BOX 1 nm [8] OTB NBTI 2.2 NBTI NBTI 1 [3] MOFET NBTI c 216 Information Processing ociety of Japan 51

3 A シンポジウム esign Automation ymposium A216 Vg Vg Trap Interface Trap ate efect Carrieres i V ate Vth efect i Carriers V ~ BOX 1 nm ~ ~ BOX 1 nm ~ V V + RBB 3 Atomistic Trap-based BTI (ATB) 4 RBB NBTI RBB NBTI NBTI Atomistic Trap-based BTI (ATB) [9], [1] 3 ATB MOFET (τ) s 1 9 s t log(t) NBTI PMO (V gs < V) NBTI 65 nm PBTI (Positive BTI) NMO V gs > V 65 nm PBTI 65 nm ion NMO 45 nm PBTI 45 nm high-k [11] high-k Hf ( ) ion 3 high-k 65 nm 1 nm ion high-k ion high-k NMO PBTI 65 nm high-k PBTI 2.3 NBTI NBTI 4 (RBB) MOFET RBB NBTI 3. NBTI NOR 11 NOR NBTI NOR 2 1 (ENB) NOR ENB 1 NOR NBTI ENB NOR 1 NBTI 1 ENB 5 OUT 11 ENB 1 NOR NBTI ENB c 216 Information Processing ociety of Japan 52

4 Aシンポジウム esign Automation ymposium A216 (2) の電源電圧一定は RAM など実際のアプリケーショ 6.2 mm ンで用いられる制御方法である [5] 逆方向基板バイアス は待機状態で低消費電力にするために印加されるが 動作 65 nm process Nominal V: 1.2 V Measurement: 1.5 V 576 ROs 速度が遅くなるため 動作させるときは基板バイアスを元 に戻すことが一般のアプリケーションで行われる これに そった測定の流れを図 7 に示す 発振させるときは動作状 態であるため 基板バイアスを V にする 発振を停止す 2. mm るときは待機状態であるため 基板バイアスを印加する この待機状態にリングオシレータの全出力が となるた め NBTI ストレスがかかる 発振時間は 28 µs NBTI ス 図 6 試作チップ写真 リングオシレータが 576 個搭載されており トレスは 1 s 以上印加し できるだけ NBTI ストレスが 支配的になるように測定する 電源電圧は 1.5 V で温度は その平均値を評価する 8 C で測定を行う 同じチップ 同じリングオシレータで基板バイアスを変 えて測定を行うため NBTI による劣化が蓄積している可 OUT 能性がある 自動車や医療機器などのデバイスは電源を切 Oscillation NBTI stress > 1 s 28 µs Oscillation 28 µs VBB らず常に稼動しているため NBTI による劣化が蓄積する が 今回の目的は基板バイアスによる NBTI の変動評価で あるため NBTI による劣化は回復させて基板バイアス以 RBB.4V RBB1.V 外は同条件で測定する 電源を切ることで NBTI による劣 図 7 電源電圧一定での測定の流れ 発振させるときは基板バイア スを V にし 発振を停止して NBTI ストレスがかかってい るときに 基板バイアスを印加する と 発振周波数が減少するため リングオシレータの発振 周波数を時間経過毎に測定することで NBTI の影響を評 化を回復させることができるため 各基板バイアスでの測 定には 1 時間以上電源を切って 測定に間隔を空ける 4. 測定結果 3 節で説明した回路を用いて発振周波数を測定した結果 を示す 価する 試作チップの写真を図 6 に示す 65 nm の OTB プロ セスであり チップ中央下部に評価回路を配置している 4.1 動作速度一定での測定結果 各基板バイアスでの測定前に NBTI による劣化が回復し 1 チップに同じ構造のリングオシレータを 576 個搭載して ているかどうか初期周波数を確認する 図 8 に動作速度一 おり その平均値で評価する 定での初期周波数のみの結果を示す 各基板バイアスでの 初期周波数は 1.57 Hz である 最も差があるところでも 変動量は.5%であり NBTI による劣化量より十分小さ 3.2 測定方法 測定は以下の 2 通りの方法で行う ( 1 ) 動作速度 (Vov = Vgs Vth ) が一定 いことから 測定前に NBTI による劣化は蓄積していない ことが確認できる 図 9 に動作速度一定での NBTI 測定結果を示す 横軸は ( 2 ) 電源電圧が一定 (1) の動作速度一定は RBB を増加させて Vth が増加し 時間 縦軸は初期周波数を基準とした発振周波数の劣化率 た分 電源電圧を増加させて測定を行う 初めに 電源電 であり 上にいくほど劣化している 点が測定値の平均で 圧 1.5 V で基板バイアスを印加しないときの初期発振周 あり 曲線は ATB モデルに基づいた式 (2) で表される近 波数を測定する 今回の測定では この初期発振周波数は 似線 f (t) である 1.57 Hz であった RBB を変えたときに この発振周波 数と同じになる電源電圧で測定する 例えば RBB を.6 V f (t) = NBTI log(t + 1) (2) 印加するとき 動作速度を同じにするために電源電圧を t は時間であり NBTI は劣化度合いを示すフィッティン 1.75 V にして 初期発振周波数を 1.57 Hz にそろえる グパラメータである この NBTI が大きいほど NBTI に 初期周波数が同じとなる電源電圧とそのときの基板バイア よって劣化している 図 9 の結果から 逆方向基板バイア スを一定にしながら 28 µs の発振と 1 s 以上の NBTI ス ス (RBB) を印加するほど 劣化率が増加していることが トレスを交互に繰り返しながら測定する 温度は NBTI を わかる RBB を印加しないときと比べて RBB が 1 V の 加速させるために 8 C とする 216 Information Processing ociety of Japan ときは劣化率が約 4 倍となった 53

5 A シンポジウム esign Automation ymposium A216 Initial Frequency [Hz] Frequency Volatage upply Voltage [V] Initial Frequency [Hz] egradation Rate [%] 9 egradation Factor NBTI [x 1-2 ] 1 NBTI tress Time [s] NBTI NBTI (2) NBTI 1 NBTI RBB NBTI RBB NBTI RBB 11 (1.5 V) 7 28 µs NBTI Hz.3% NBTI NBTI 12 NBTI RBB RBB RBB 1 V 77% 13 NBTI RBB NBTI 13 (3) NBTI (RBB) = a RBB + b + c (3) a, b, c (3) (1) NBTI NBTI (3) (3) 2.47 RBB NBTI RBB V 1 V 15% RBB NBTI 5. NBTI 65 nm OTB c 216 Information Processing ociety of Japan 54

6 A シンポジウム esign Automation ymposium A216 egradation Rate [%] 12 egradation Factor NBTI [x 1-2 ] tress Time [s] NBTI RBB 1/ NBTI 1/2 (RBB) NBTI RBB 1 V V 4 NBTI RBB RBB NBTI RBB RBB 1 V V 77% RBB NBTI NBTI JP 15H2677 [1] M. Bohr, The Evolution of caling from the Homogeneous Era to the Heterogeneous Era, IEM, (211), pp [2] T. rasser, B. Kaczer, W. oes, H. Reisinger, T. Aichinger, P. Hehenberger, P.-J. Wagner, F. chanovsky, J. Franco, M. T. Luque, and M. Nelhiebel, The Paradigm hift in Understanding the Bias Temperature Instability: From Reaction-iffusion to witching Traps, IEEE Trans. on Electron evices, Vol. 58, (211), pp [3] V. Huard, C. Parthasarathy, C. uerin, T. Valentin, E. Pion, M. Mammasse, N. Planes, and L. Camus, NBTI egradation: From Transistor to RAM Arrays, IRP, (28), pp [4] H. Amrouch, B. Khaleghi, A. erstlauerz, and J. Henkel, Reliability-aware esign to uppress Aging, AC, (216), pp. 12:1 12:6. [5] R. Faraji and H. R. Naji, Adaptive Technique for Overcoming Performance egradation ue to Aging on 6T RAM Cells, IEEE Trans. on ev. and Mat. Rel., Vol. 14, No. 4, (214), pp [6] J. Franco, B. Kaczer,. Eneman, P. J. Roussel, T. rasser, J. Mitard, L. Å. Ragnarsson, M. Cho, L. Witters, T. Chiarella, M. Togo, W. E. Wang, A. Hikavyy, R. Loo, N. Horiguchi, and. roeseneken, uperior NBTI Reliability of ie Channel pmofets: Replacement ate, FinFETs, and Impact of Body Bias, IEM, (211), pp [7] N. Weste and. M. Harris, CMO VLI EIN, Pearson, Addison-Wesley, (211). [8] R. Tsuchiya, M. Horiuchi,. Kimura, M. Yamaoka, T. Kawahara,. Maegawa, T. Ipposhi, Y. Ohji, and H. Matsuoka, ilicon on Thin BOX: A New Paradigm of The CMOFET for Low-Power High-Performance Application Featuring Wide-Range Back-Bias Control, IEM, (24), pp [9] H. Kukner,. Khan, P. Weckx, P. Raghavan,. Hamdioui, B. Kaczer, F. Catthoor, L. Van der Perre, R. Lauwereins, and. roeseneken, Comparison of Reaction-iffusion and Atomistic Trap-Based BTI Models for Logic ates, IEEE Trans. on ev. and Mat. Rel., Vol. 14, No. 1, (214), pp [1] B. Kaczer,. Mahato, V. V. de Almeida Camargo, M. Toledano-Luque, P. J. Roussel, T. rasser, F. Catthoor, P. obrovolny, P. Zuber,. Wirth, and. roeseneken, Atomistic Approach to Variability of Bias-Temperature Instability in Circuit imulations, IRP, (211), pp. XT.3.1 XT.3.5. [11]. Zafar, Y. Kim, V. Narayanan, C. Cabral, V. Paruchuri, B. oris, J. tathis, A. Callegari, and M. Chudzik, A Comparative tudy of NBTI and PBTI (Charge Trapping) in io2/hfo2 tacks with FUI, TiN, Re ates, VLI Tech. ymp., (26), pp c 216 Information Processing ociety of Japan 55

DAS27 27/9/ BTI BTI [5] CMOS NMOS PMOS [6] NMOS PMOS NOR BTI 2 BTI 3 BTI 4 BTI Bias Temperature Instability (BTI) BTI BTI 2 NBTI (Negative BTI)

DAS27 27/9/ BTI BTI [5] CMOS NMOS PMOS [6] NMOS PMOS NOR BTI 2 BTI 3 BTI 4 BTI Bias Temperature Instability (BTI) BTI BTI 2 NBTI (Negative BTI) DAS27 27/9/ NOR PMOS 6 NMOS 3 Threshold Voltage Conversion Method of Frequency Fluctuations Using Current Starved Ring Oscillators Ryo Kishida Jun Furuta Kazutoshi Kobayashi Abstract: It has been difficult

More information

[7], [8],, [7] MOSFET, V th,, MOSFET,,, RTN 2 RTN, 3 RTN 4, 5 2. (RTN), RTN 2. RTN RTN MOSFET,, [2]. τ c, τ e, τ τ, τ e, τ c [9]., 2, 2, V th trap [0]

[7], [8],, [7] MOSFET, V th,, MOSFET,,, RTN 2 RTN, 3 RTN 4, 5 2. (RTN), RTN 2. RTN RTN MOSFET,, [2]. τ c, τ e, τ τ, τ e, τ c [9]., 2, 2, V th trap [0] RTN, (Random Telegraph ise: RTN) RTN charge trapping model ( ) RTN, RTN, MOSFET Verilog-A MOSFET, RTN MOSFET,, RTN,, RTN BSIM 42%, HiSIM 4%, Random Telegraph ise Simulation Method for Analog Circuits Takuya

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI)

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI) DA DA シンポジウム 25 27 DAS25 Design Automation Symposium 25/8/26 28nm UTBB FDSOI SOI 28nm UTBB FDSOI Analysis of Soft Error Rates in a 28nm UTBB FDSOI Structure by DeviceLevel Simulation Shigehiro Umehara

More information

news

news ETL NEWS 1999.9 ETL NEWS 1999.11 Establishment of an Evaluation Technique for Laser Pulse Timing Fluctuations Optoelectronics Division Hidemi Tsuchida e-mail:tsuchida@etl.go.jp A new technique has been

More information

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig Mover Design and Performance Analysis of Linear Synchronous Reluctance Motor with Multi-flux Barrier Masayuki Sanada, Member, Mitsutoshi Asano, Student Member, Shigeo Morimoto, Member, Yoji Takeda, Member

More information

untitled

untitled Tokyo Institute of Technology high-k/ In.53 Ga.47 As MOS - Defect Analysis of high-k/in.53 G a.47 As MOS Capacitor using capacitance voltage method,,, Darius Zade,,, Parhat Ahmet,,,,,, ~InGaAs high-k ~

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

DA DA シンポジウム DAS2015 Design Automation Symposium 2015/8/26 65nm FD-SOI SOI (Sillicon On Insulatar) 65nm FD-SOI (Fully-Depleted SOI) 1.4

DA DA シンポジウム DAS2015 Design Automation Symposium 2015/8/26 65nm FD-SOI SOI (Sillicon On Insulatar) 65nm FD-SOI (Fully-Depleted SOI) 1.4 65nm FD-SOI SOI (Sillicon On Insulatar) 65nm FD-SOI (Fully-Depleted SOI).4 FD-SOI 8 FD-SOI Measurements and Evaluations of Soft error induced by Antenna Diode in 65 nm and SOTB Processes Eiji Sonezaki

More information

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6)

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6) 1 2 1 3 Experimental Evaluation of Convenient Strain Measurement Using a Magnet for Digital Public Art Junghyun Kim, 1 Makoto Iida, 2 Takeshi Naemura 1 and Hiroyuki Ota 3 We present a basic technology

More information

MOSFET HiSIM HiSIM2 1

MOSFET HiSIM HiSIM2 1 MOSFET 2007 11 19 HiSIM HiSIM2 1 p/n Junction Shockley - - on-quasi-static - - - Y- HiSIM2 2 Wilson E f E c E g E v Bandgap: E g Fermi Level: E f HiSIM2 3 a Si 1s 2s 2p 3s 3p HiSIM2 4 Fermi-Dirac Distribution

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 63 No. 1 May 2017 Development of Simultaneous-Capture Wide-dynamic-range Technology and Global Shutter Technology for Organic Photoconductive Film Image Sensor Masashi

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

no15

no15 Development of High Performance Catalyst Temperature Sensor for NOx Catalyst Control Atsushi KURANO Kaoru KUZUOKA Sotoo TAKAHASHI Itsuhei OGATA In order to meet each countrys low emission vehicle regulations

More information

電子回路I_6.ppt

電子回路I_6.ppt 電子回路 Ⅰ 第 6 回 電子回路 Ⅰ 7 講義内容. 半導体素子 ( ダイオードとトランジスタ ). 基本回路 3. 増幅回路 バイポーラトランジスタの パラメータと小信号等価回路 二端子対回路 パラメータ 小信号等価回路 FET(MOFET) の基本増幅回路と等価回路 MOFET の基本増幅回路 MOFET の小信号等価回路 電子回路 Ⅰ 7 増幅回路の入出力インピーダンス 増幅度 ( 利得 )

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been s

Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been s Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been studied using a volume constant technique. The process

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IWASE Nobukazu TAKAI Haruo KOBAYASHI Takahiro ODAGUCHI

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

IPSJ SIG Technical Report 1, Instrument Separation in Reverberant Environments Using Crystal Microphone Arrays Nobutaka ITO, 1, 2 Yu KITANO, 1

IPSJ SIG Technical Report 1, Instrument Separation in Reverberant Environments Using Crystal Microphone Arrays Nobutaka ITO, 1, 2 Yu KITANO, 1 1, 2 1 1 1 Instrument Separation in Reverberant Environments Using Crystal Microphone Arrays Nobutaka ITO, 1, 2 Yu KITANO, 1 Nobutaka ONO 1 and Shigeki SAGAYAMA 1 This paper deals with instrument separation

More information

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055 1 1 1 2 DCRA 1. 1.1 1) 1 Tactile Interface with Air Jets for Floating Images Aya Higuchi, 1 Nomin, 1 Sandor Markon 1 and Satoshi Maekawa 2 The new optical device DCRA can display floating images in free

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. E-mail: {ytamura,takai,tkato,tm}@vision.kuee.kyoto-u.ac.jp Abstract Current Wave Pattern Analysis for Anomaly

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

Mikio Yamamoto: Dynamical Measurement of the E-effect in Iron-Cobalt Alloys. The AE-effect (change in Young's modulus of elasticity with magnetization

Mikio Yamamoto: Dynamical Measurement of the E-effect in Iron-Cobalt Alloys. The AE-effect (change in Young's modulus of elasticity with magnetization Mikio Yamamoto: Dynamical Measurement of the E-effect in Iron-Cobalt Alloys. The AE-effect (change in Young's modulus of elasticity with magnetization) in the annealed state of iron-cobalt alloys has been

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

Influence of Material and Thickness of the Specimen to Stress Separation of an Infrared Stress Image Kenji MACHIDA The thickness dependency of the temperature image obtained by an infrared thermography

More information

Input image Initialize variables Loop for period of oscillation Update height map Make shade image Change property of image Output image Change time L

Input image Initialize variables Loop for period of oscillation Update height map Make shade image Change property of image Output image Change time L 1,a) 1,b) 1/f β Generation Method of Animation from Pictures with Natural Flicker Abstract: Some methods to create animation automatically from one picture have been proposed. There is a method that gives

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 一般機器用 For Consumer Products 汎用パワーインダクタ Common Power Inductors HER series RoHS HER327 HER427 HER527 HER627 HER88 HER9 特徴 直流重畳特性に優れている為 DC-DC コンバータ用インダクタとして最適 ドラムコアとリングコアに異なる磁性材料を使い電流特性を向上 * 既存同サイズと比べて電流特性を約

More information

暑熱順化の形成過程に関する研究 : サーモグラフィ装置によるヒト発汗部皮膚温測定

暑熱順化の形成過程に関する研究 : サーモグラフィ装置によるヒト発汗部皮膚温測定 NAOSITE: Nagasaki University's Ac Title 暑 熱 順 化 の 形 成 過 程 に 関 する 研 究 : サーモグラフィ 装 置 によるヒト 発 汗 部 皮 膚 温 測 定 Author(s) 大 渡, 伸 ; 小 坂, 光 男 ; 土 屋, 勝 彦 ; 井 元, 孝 章 ; 藤 原, Citation 熱 帯 医 学 Tropical medicine 25(1).

More information

圧電型加速度センサ Piezoelectric Acceleration sensor 特長 Features 圧電素子に圧電型セラミックを用いた加速度センサは 小型堅牢 高感度で広帯域を特長としております 従って 低い周波数の振動加速度から衝突の様な高い加速度の測定まで 各分野で 幅広く使用されて

圧電型加速度センサ Piezoelectric Acceleration sensor 特長 Features 圧電素子に圧電型セラミックを用いた加速度センサは 小型堅牢 高感度で広帯域を特長としております 従って 低い周波数の振動加速度から衝突の様な高い加速度の測定まで 各分野で 幅広く使用されて 圧電型加速度センサ 小型タイプ φ3.5 5.85 2.5(H)mm 加速度 MAX100,000m/s 2 高温タイプ MAX250 小型 3 軸タイプ 8 7 5.5(H)mm Super miniature type φ3.5 5.85 2.5(H)mm 100,000m/s 2 High temperature resistance type MAX250 and Triaxial type

More information

IIC Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric

IIC Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric IIC-1-19 Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric Vehicle Toru Suzuki, Hiroshi Fujimoto (Yokohama National

More information

プラズマ核融合学会誌11月【81‐11】/小特集5

プラズマ核融合学会誌11月【81‐11】/小特集5 Japan Atomic Energy Agency, Ibaraki 311-0193, Japan 1) Kyoto University, Uji 611-0011, Japan 2) National Institute of Advanced Industrial Science and Technology, Tsukuba 305-8569, Japan 3) Central Research

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple 1 2 3 4 5 e β /α α β β / α A judgment method of difficulty of task for a learner using simple electroencephalograph Katsuyuki Umezawa 1 Takashi Ishida 2 Tomohiko Saito 3 Makoto Nakazawa 4 Shigeichi Hirasawa

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

磁気測定によるオーステンパ ダクタイル鋳鉄の残留オーステナイト定量

磁気測定によるオーステンパ ダクタイル鋳鉄の残留オーステナイト定量 33 Non-destructive Measurement of Retained Austenite Content in Austempered Ductile Iron Yoshio Kato, Sen-ichi Yamada, Takayuki Kato, Takeshi Uno Austempered Ductile Iron (ADI) 100kg/mm 2 10 ADI 10 X ADI

More information

303 Human Factors in Nuclear Power Plant with Focus on Application of Man-machine Interface and Advanced Data Processing Technologies to Nuclear Power Industry Abstract We discuss issues involved in a

More information

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal Inrush Current of Induction Motor on Applying Electric Power by Takao Itoi Abstract The transient currents flow into the windings of the induction motors when electric sources are suddenly applied to the

More information

5 11 3 1....1 2. 5...4 (1)...5...6...7...17...22 (2)...70...71...72...77...82 (3)...85...86...87...92...97 (4)...101...102...103...112...117 (5)...121...122...123...125...128 1. 10 Web Web WG 5 4 5 ²

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

2.2 (a) = 1, M = 9, p i 1 = p i = p i+1 = 0 (b) = 1, M = 9, p i 1 = 0, p i = 1, p i+1 = 1 1: M 2 M 2 w i [j] w i [j] = 1 j= w i w i = (w i [ ],, w i [

2.2 (a) = 1, M = 9, p i 1 = p i = p i+1 = 0 (b) = 1, M = 9, p i 1 = 0, p i = 1, p i+1 = 1 1: M 2 M 2 w i [j] w i [j] = 1 j= w i w i = (w i [ ],, w i [ RI-002 Encoding-oriented video generation algorithm based on control with high temporal resolution Yukihiro BANDOH, Seishi TAKAMURA, Atsushi SHIMIZU 1 1T / CMOS [1] 4K (4096 2160 /) 900 Hz 50Hz,60Hz 240Hz

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

JFE(和文)No.4-12_下版Gのコピー

JFE(和文)No.4-12_下版Gのコピー JFE No. 4 245 p. 6358 Electrical Steels for Advanced Automobiles Core Materials for Motors, Generators, and High-frequency Reactors SENDA Kunihiro JFE NAMIKAWA JFEMisao HAYAKAWA JFEYasuyuki JNE JNEH JGE

More information

Description

Description Metal Hybrid Inductor Description Metal Hybrid Inductor Magnetically shielded Suitable for Large Current Size: 4.3 x 4.3 x H2.1 mm Max. Product weight:.18g (Ref.) Halogen Free available Operating temperature

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

untitled

untitled 11-19 2012 1 2 3 30 2 Key words acupuncture insulated needle cervical sympathetick trunk thermography blood flow of the nasal skin Received September 12, 2011; Accepted November 1, 2011 I 1 2 1954 3 564-0034

More information

29 Short-time prediction of time series data for binary option trade

29 Short-time prediction of time series data for binary option trade 29 Short-time prediction of time series data for binary option trade 1180365 2018 2 28 RSI(Relative Strength Index) 3 USD/JPY 1 2001 1 2 4 10 2017 12 29 17 00 1 high low i Abstract Short-time prediction

More information

ID 3) 9 4) 5) ID 2 ID 2 ID 2 Bluetooth ID 2 SRCid1 DSTid2 2 id1 id2 ID SRC DST SRC 2 2 ID 2 2 QR 6) 8) 6) QR QR QR QR

ID 3) 9 4) 5) ID 2 ID 2 ID 2 Bluetooth ID 2 SRCid1 DSTid2 2 id1 id2 ID SRC DST SRC 2 2 ID 2 2 QR 6) 8) 6) QR QR QR QR Vol. 51 No. 11 2081 2088 (Nov. 2010) 2 1 1 1 which appended specific characters to the information such as identification to avoid parity check errors, before QR Code encoding with the structured append

More information

Safety Performance of Steel Deck Plate (Flat Decks) Used for Concrete Slab Moulding CONTENTS 1. Introduction ---------------------------------------------------------------- (2) 2. Flat Decks ------------------------------------------------------------------

More information

Rubin Rubin

Rubin Rubin Yamagata Journal of Health Science, Vol. 7, 2004 2 2 Sumi MISAWA, Chizu KATAGIRI, Ryoko KOMATSU, Yohko FUJISAWA The first report focused on maternal role behaviors of women in childbirth, especially the

More information

Fig, 1. Waveform of the short-circuit current peculiar to a metal. Fig. 2. Waveform of arc short-circuit current. 398 T. IEE Japan, Vol. 113-B, No. 4,

Fig, 1. Waveform of the short-circuit current peculiar to a metal. Fig. 2. Waveform of arc short-circuit current. 398 T. IEE Japan, Vol. 113-B, No. 4, Development of a Quick-Acting Type Fuses for Protection of Low Voltage Distribution Lines Terukazu Sekiguchi, Member, Masayuki Okazaki, Member, Tsuginori Inaba, Member (CRIEPI), Naoki Ikeda, Member, Toshiyuki

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

LM6172 デュアル高速低消費電力、低歪み電圧帰還アンプ

LM6172 デュアル高速低消費電力、低歪み電圧帰還アンプ Dual High Speed, Low Power, Low Distortion, Voltage Feedback Amplifiers Literature Number: JAJS854 100MHz 3000V/ s 50mA 2.3mA/ 15V ADSL 5V VIP III (Vertically Integrated PNP) LM6171 Dual High Speed, Low

More information

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for 1 2 3 3 1 Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for Mobile Terminals Kaoru Wasai 1 Fumio Sugai 2 Yosihiro Kita 3 Mi RangPark 3 Naonobu

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

24 LED A visual programming environment for art work using a LED matrix

24 LED A visual programming environment for art work using a LED matrix 24 LED A visual programming environment for art work using a LED matrix 1130302 2013 3 1 LED,,,.,. Arduino. Arduino,,,., Arduino,.,, LED,., Arduino, LED, i Abstract A visual programming environment for

More information

橡自動車~1.PDF

橡自動車~1.PDF CIRJE-J-34 2000 10 Abstract In this paper, we examine the diversity of transaction patterns observed between a single pair of one automaker and one auto parts supplier in Japan. Assumed reasonably that

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

Sobel Canny i

Sobel Canny i 21 Edge Feature for Monochrome Image Retrieval 1100311 2010 3 1 3 3 2 2 7 200 Sobel Canny i Abstract Edge Feature for Monochrome Image Retrieval Naoto Suzue Content based image retrieval (CBIR) has been

More information

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 Encore SSIS 10 c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 SSIS NOSIDE PR SSIS SSIS PR 2000 5SSIS SSIS 1 2001 5 8 3 2004 SSIS 1 2 SSIS 24 SSISPR

More information

音響部品アクセサリ本文(AC06)PDF (Page 16)

音響部品アクセサリ本文(AC06)PDF (Page 16) Guide for Electret Condenser Microphones A microphone as an audio-electric converting device, whose audio pickup section has a structure of a condenser consisting of a diaphragm and a back plate opposite

More information

1 Web [2] Web [3] [4] [5], [6] [7] [8] S.W. [9] 3. MeetingShelf Web MeetingShelf MeetingShelf (1) (2) (3) (4) (5) Web MeetingShelf

1 Web [2] Web [3] [4] [5], [6] [7] [8] S.W. [9] 3. MeetingShelf Web MeetingShelf MeetingShelf (1) (2) (3) (4) (5) Web MeetingShelf 1,a) 2,b) 4,c) 3,d) 4,e) Web A Review Supporting System for Whiteboard Logging Movies Based on Notes Timeline Taniguchi Yoshihide 1,a) Horiguchi Satoshi 2,b) Inoue Akifumi 4,c) Igaki Hiroshi 3,d) Hoshi

More information

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 657 8531 1 1 E-mail: {soda,matsubara}@ws.cs.kobe-u.ac.jp, {masa-n,shinsuke,shin,yosimoto}@cs.kobe-u.ac.jp,

More information

untitled

untitled 20101221JST (SiC - Buried Gate Static Induction Transistor: SiC-BGSIT) SOURCE GATE N source layer p + n p + n p + n p+ n drift layer n + substrate DRAIN SiC-BGSIT (mωcm 2 ) 200 100 40 10 4 1 Si limit

More information

反転型チャージポンプ IC Monolithic IC MM3631 反転型チャージポンプ IC MM3631 概要 MM3631XN は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの S

反転型チャージポンプ IC Monolithic IC MM3631 反転型チャージポンプ IC MM3631 概要 MM3631XN は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの S 反転型チャージポンプ IC Monolithic IC MM3631 概要 MM3631X は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの SOT-26B (2.9 2.8 1.15mm) の小型パッケージを採用しています CE 端子を内蔵しており スタンバイ時は 1 μ A 以下と待機時電流を低減しています

More information

16_.....E...._.I.v2006

16_.....E...._.I.v2006 55 1 18 Bull. Nara Univ. Educ., Vol. 55, No.1 (Cult. & Soc.), 2006 165 2002 * 18 Collaboration Between a School Athletic Club and a Community Sports Club A Case Study of SOLESTRELLA NARA 2002 Rie TAKAMURA

More information

,,.,.,,.,.,.,.,,.,..,,,, i

,,.,.,,.,.,.,.,,.,..,,,, i 22 A person recognition using color information 1110372 2011 2 13 ,,.,.,,.,.,.,.,,.,..,,,, i Abstract A person recognition using color information Tatsumo HOJI Recently, for the purpose of collection of

More information

MOS FET c /(17)

MOS FET c /(17) 1 -- 7 1 2008 9 MOS FT 1-1 1-2 1-3 1-4 c 2011 1/(17) 1 -- 7 -- 1 1--1 2008 9 1 1 1 1(a) VVS: Voltage ontrolled Voltage Source v in µ µ µ 1 µ 1 vin 1 + - v in 2 2 1 1 (a) VVS( ) (b) S( ) i in i in 2 2 1

More information

電子回路I_4.ppt

電子回路I_4.ppt 電子回路 Ⅰ 第 4 回 電子回路 Ⅰ 5 1 講義内容 1. 半導体素子 ( ダイオードとトランジスタ ) 2. 基本回路 3. 増幅回路 電界効果トランジスタ (FET) 基本構造 基本動作動作原理 静特性 電子回路 Ⅰ 5 2 半導体素子 ( ダイオードとトランジスタ ) ダイオード (2 端子素子 ) トランジスタ (3 端子素子 ) バイポーラトランジスタ (Biolar) 電界効果トランジスタ

More information

394-04

394-04 Change and Development of Continuous Casting Technology Abstract It is about 60 years that history of continuous casting process in our country. From the start of commercial operation of the first continuous

More information

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori Proposal and Characteristics Evaluation of a Power Generation System Utilizing Waste Heat from Factories for Load Leveling Pyong Sik Pak, Member, Takashi Arima, Non-member (Osaka University) In this paper,

More information

レーザ誘起蛍光法( LIF法) によるピストンの油膜挙動の解析

レーザ誘起蛍光法( LIF法) によるピストンの油膜挙動の解析 Analysis of Piston Oil Film Behavior by Using Laser Induced Fluorescence Method Shuzou Sanda, Akinori Saito ( Laser Induced Fluorescence Method LIF ) LIF Scanning -LIF Abstract Analysis of the oil film

More information

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels).

Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig. 1 The scheme of glottal area as a function of time Fig. 3 Flow diagram of image processing. Black rectangle in the photo indicates the processing area (128 x 32 pixels). Fig, 4 Parametric representation

More information

パナソニック技報

パナソニック技報 67 Next-generation Power Switching Devices for Automotive Applications: GaN and SiC Tetsuzo Ueda Yoshihiko Kanzawa Satoru Takahashi Kazuyuki Sawada Hiroyuki Umimoto Akira Yamasaki GaNSiCGaNSiGate Injection

More information

ネットワーク化するデジタル情報家電の動向

ネットワーク化するデジタル情報家電の動向 In this broadband era, Information Technology has started at a market targeting home consumers, and upon gaining good achievements there would move on to be used in the business and enterprise markets.

More information

SONY HAD Sensor に関する SONY と NEC の特許戦争 (1994~2002) SONY の 1975 年の HAD Sensor 特許に対する NEC からの攻撃内容の詳細 NECの1980 年の埋め込みPhotodiode 特許では BASE 領域を完全空乏化することを特許請

SONY HAD Sensor に関する SONY と NEC の特許戦争 (1994~2002) SONY の 1975 年の HAD Sensor 特許に対する NEC からの攻撃内容の詳細 NECの1980 年の埋め込みPhotodiode 特許では BASE 領域を完全空乏化することを特許請 SONY HAD Sensor に関する SONY と NEC の特許戦争 (1994~2002) SONY の 1975 年の HAD Sensor 特許に対する NEC からの攻撃内容の詳細 NECの1980 年の埋め込みPhotodiode 特許では BASE 領域を完全空乏化することを特許請求しているが すでに上記の2 件の萩原 1975 年特許の実施図で完全空乏化が明示されている また その埋め込み層の電位は

More information

elm73xxxxxxa_jp.indd

elm73xxxxxxa_jp.indd 概要 ELM73xxxxxxAは 遅延機能付きの CMOS 電圧検出器 ICであり 遅延時間は外付けコンデンサで調整可能です また 非常に低い消費電流 (Tpy.26nA) で動作します ELM73xxxBxxAシリーズはマニュアルリセット機能付きタイプで いつでも手動でリセットすることができます 出力スタイルは N-chオープンドレイン出力と CMOS 出力の 2つがあります 電源電圧 ddは検出電圧以下に低下したとき

More information

13 2 9

13 2 9 13 9 1 1.1 MOS ASIC 1.1..3.4.5.6.7 3 p 3.1 p 3. 4 MOS 4.1 MOS 4. p MOS 4.3 5 CMOS NAND NOR 5.1 5. CMOS 5.3 CMOS NAND 5.4 CMOS NOR 5.5 .1.1 伝導帯 E C 禁制帯 E g E g E v 価電子帯 図.1 半導体のエネルギー帯. 5 4 伝導帯 E C 伝導電子

More information

01 23A1-W-0012.indd

01 23A1-W-0012.indd Electrical Equipment for High Speed Rolling Stock 23A1-W-0012 1 Fuji Electric produces electrical equipment for Shinkansen (bullet) trains, contributing to the famously safe, high-speed operation of Shinkansen

More information

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us 1,a) 1 1 1 1 2 2 2011 8 10, 2011 12 2 1 Bluetooth 36 2 3 10 70 34 A Health Management Service by Cell Phones and Its Usability Evaluation Naofumi Yoshida 1,a) Daigo Matsubara 1 Naoki Ishibashi 1 Nobuo

More information

44 2012 2013 3 35 48 法人化後の国立大学の収入変動 37 法人化後の国立大学の収入変動 2009 2005 2010 2012 2012 2008 2009a 2010 16 18 17 20 2 4 2012 38 44 2012 17 22 (1) (2) 2012 5 GP COE 30 WPI 1 2012 17 22 16 17 22 17 17 19 2012 2012

More information

AUTOMATIC MEASUREMENTS OF STREAM FLOW USING FLUVIAL ACOUSTIC TOMOGRAPHY SYSTEM Kiyosi KAWANISI, Arata, KANEKO Noriaki GOHDA and Shinya

AUTOMATIC MEASUREMENTS OF STREAM FLOW USING FLUVIAL ACOUSTIC TOMOGRAPHY SYSTEM Kiyosi KAWANISI, Arata, KANEKO Noriaki GOHDA and Shinya 2010 9 AUTOMATIC MEASUREMENTS OF STREAM FLOW USING FLUVIAL ACOUSTIC TOMOGRAPHY SYSTEM 1 2 3 4 Kiyosi KAWANISI, Arata, KANEKO Noriaki GOHDA and Shinya NIGO 1 739-8527 1-4-1 2 739-8527 1-4-1 3 723-0047 12-2

More information

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s 1 1 1, Extraction of Transmitted Light using Parallel High-frequency Illumination Kenichiro Tanaka 1 Yasuhiro Mukaigawa 1 Yasushi Yagi 1 Abstract: We propose a new sharpening method of transmitted scene

More information

W 1983 W ± Z cm 10 cm 50 MeV TAC - ADC ADC [ (µs)] = [] (2.08 ± 0.36) 10 6 s 3 χ µ + µ 8 = (1.20 ± 0.1) 10 5 (Ge

W 1983 W ± Z cm 10 cm 50 MeV TAC - ADC ADC [ (µs)] = [] (2.08 ± 0.36) 10 6 s 3 χ µ + µ 8 = (1.20 ± 0.1) 10 5 (Ge 22 2 24 W 1983 W ± Z 0 3 10 cm 10 cm 50 MeV TAC - ADC 65000 18 ADC [ (µs)] = 0.0207[] 0.0151 (2.08 ± 0.36) 10 6 s 3 χ 2 2 1 20 µ + µ 8 = (1.20 ± 0.1) 10 5 (GeV) 2 G µ ( hc) 3 1 1 7 1.1.............................

More information