(Microsoft PowerPoint _RCNP\214\244\213\206\211\357_\214\366\212J\216\221\227\277.ppt)

Size: px
Start display at page:

Download "(Microsoft PowerPoint _RCNP\214\244\213\206\211\357_\214\366\212J\216\221\227\277.ppt)"

Transcription

1 中性子ソフトエラーの 加速試験評価 富士通セミコンダクター 上村大樹 Fujitsu Semiconductor LTD. Copyright 2008 FUJITSU LIMITED

2 Outline ソフトエラーの背景 ソフトエラーの評価方法 中性子ビームによる評価 ソフトエラー対策の評価 1 Copyright 2008 FUJITSU LIMITED

3 ソフトエラーとは ハードエラー 永久に電子デバイスが正常な動作を行えない状態になること (BTI, TDDB, HCI, TID, DDD) ひと言で言うと 物理的に壊れる ソフトエラー 壊れてないけど 一時的に誤動作が発生すること 良品で発生する 原因 : 放射線 ( 宇宙線起因など ) Software のエラーではない 2 Copyright 2008 FUJITSU LIMITED

4 ソフトエラーのメカニズム α 線 LSI 材料中の放射性不純物から α 粒子 中性子 中性子線地上の環境中性子 N N N N P P 核反応 α 線 中性子により発生する電子 or 正孔がドレイン ( 回路上の 1 端子 ) に収集され 電流ノイズが発生する ( 誤った信号が発生 ) 3 Copyright 2008 FUJITSU LIMITED

5 ソフトエラーは製品の信頼を損ねる Intel 2107 series 16kb DRAMs (1978) IBM Hera problem (1986) Sun: Forbes Global article. [Forbes 2000] ASC Q supercomputer SER related issues [ASC Q 2005] We're no longer buying IBM SRAM. [EETimes 2001] Sun CEO Scott McNealy [Forbes 2000] 4 Copyright 2008 FUJITSU LIMITED

6 各社ソフトエラー対策を強化 Intel: ソフトエラー対策ラッチの採用 [ISSCC 2008] 2bit-correct-ECCの採用 [ISSCC 2009] IBM: R-unitを用いたRAS 機構を搭載 [HotChip ] Fujitsu: RAS 機構を強化 [HotChip ] [CISCO ホームページより ] 5 Copyright 2008 FUJITSU LIMITED

7 自動車ブレーキ制御 IC chip のソフトエラー 電子デバイスにおいて ロジックでソフトエラーが発生すると 24% の確率で 致命的な誤動作に繋がる ブレーキが効きっぱなし (41%) ブレーキが効かない (59%) [08 Volvo technology] 6 Copyright 2008 FUJITSU LIMITED

8 ソフトエラーが微細化の障害になることも 半導体は微細化すると 低価格化 性能向上 が期待される Xillinx の FPGA(Virtex-4) の例 [2009 A. Lesea, et al.] UMC 社 90nm テクノロジで製造 FPGA では RAM に ECC を使用するのが困難 Configuration RAM LUT SRAM を使用せずラッチで代用 Block RAM 130nm の SRAM を使用 ( 一つ前の世代のデザインを使用 ) ソフトエラーが微細化の障害になっている 7 Copyright 2008 FUJITSU LIMITED

9 ソフトエラーの評価方法 フィールド試験による評価 中性子加速試験による評価 8 Copyright 2008 FUJITSU LIMITED

10 ソフトエラー評価 実験 α 線ソフトエラー 加速試験 - 密封 α 線源 ( 241 Am) を使用 - HUF (Hot Underfill): アンダーフィル材に放射性不純物を混入 地下ランニング ( フィールド ) 試験 中性子ソフトエラー ランニング ( フィールド ) 試験 - 低地 高地ランニング試験 加速試験 シミュレーション PHITS, AMD, DeviceSimulatiorなどを利用 作っていないものに対して評価できる 設計前にエラー率がわかる 9 Copyright 2008 FUJITSU LIMITED

11 中性子ソフトエラーのフィールド試験 [2008 Y. Tosaka, et al.] より信頼のおけるデータ取得が可能 高地で行うことにより データ取得速度を上げることが可能 線量を同時計測することにより より正確なデータ取得が可能 10 Copyright 2008 FUJITSU LIMITED

12 中性子加速試験 Neutrons (n/mev/cm 2 /sec/μa) 1.E+ 5 1.E+ 4 1.E+ 3 1.E+ 2 1.E+ 1 1.E+ 0 Neutron energy spectrum m LANSCE x 1/2 Atmospheric neutron x 2E+8 1.E+1 1.E+2 1.E+3 Neutron energy (MeV) Quasi-mono neutron beam White neutron beam Ring cyclotron AVF cyclotr on JESD89A(JEDEC Standard: Measurement and Reporting of Alpha Particle and Terrestrial Cosmic Ray-Induced Soft Errors in Semiconductor Devices) 試験施設 :LANSCE TRIUMF, RCNP 短時間で結果が得られ 低いエラー率のデバイスも評価が可能 ソフトエラー対策をほどこした回路 製品 11 Copyright 2008 FUJITSU LIMITED

13 中性子ビームを使用した ソフトエラー加速試験 製品 (CPU) における照射試験 ソフトエラー対策回路における照射試験 12 Copyright 2008 FUJITSU LIMITED

14 中性子加速試験 Internal view of PW650 server CPU module placement White beam source [2007 H. Ando, et al.] 13 Copyright 2008 FUJITSU LIMITED

15 90nm SPARC64 V Microprocessor for Mission Critical servers High reliability, High data integrity Introduced in 2005 Major specifications 90nm Bulk CMOS, 10 Cu layers 18.46mm x 15.94mm ~1.0V Vdd, 2.16GHz Clock ~240K Latches L1$: 128KB+128KB L2$: 4MB [2007 H. Ando, et al.] 14 Copyright 2008 FUJITSU LIMITED

16 CPU(SPARC) におけるソフトエラーのリカバリ Vanished 93.6% Noticed 6.4% Error Detected 90% (5.8%) Among Noticed Errors Recovered 76% (4.9%) Crash w/o Log 10% Fatal 24% (1.5%) [2007 H. Ando, et al.] 93.6% of estimated Latch flips were Vanished Noticed Errors were 6.4% Derating factor = Among Noticed Errors, 90% of them Detected Among Noticed Errors, 76% of them Recovered Only 1.5% of estimated latch flips resulted in Fatal errors 15 Copyright 2008 FUJITSU LIMITED

17 Noticed Logic Errors for each Apps. Logic Deratings (Noticed/Estimated errors) were 4% to 11% Floating Point Applications( ) show slightly higher values than Integer Applications( ) These results are higher than AVF as the detection is eager 0.3 Logic Derating [2007 H. Ando, et al.] 0.0 SVOP LINPACK gcc bzip2 galgel sixtrack Program 16 Copyright 2008 FUJITSU LIMITED

18 ソフトエラー対策 様々なソフトエラー対策 回路によるソフトエラー対策 ソフトエラー対策効果の評価 17 Copyright 2008 FUJITSU LIMITED

19 ソフトエラー対策 RAMにおけるソフトエラー対策 ECC (Error correction code) パリティビットを付加しエラーを検出 (detection)& 修正 (correction) ( 例 :64bit + 8bitのパリティ 1bit error correction, 2bit error detection) Logicにおけるソフトエラー システムレベルの対策 TMR (Triple module redundancy) ロジックの3 重化 Parity retry エラーを検出した場合のみ命令を再発行 回路レベルの対策 Latch 内部冗長回路機能をもった対策 Latchを使用 組合せ回路 2 重化 ノイズフィルター 18 Copyright 2008 FUJITSU LIMITED

20 回路でのソフトエラー Latch 回路 0 1 Inverter 0 を入力すると 1 を出力する 1 を入力すると 0 を出力する 0 1 Latch 回路で 放射線により電流ノイズが発生すると データが書き換わってしまう ソフトエラー 19 Copyright 2008 FUJITSU LIMITED

21 ソフトエラー対策ラッチ Type A Type B in in in in out out in in in in [T. Uemura, 2007] out out If noise comes from somewhere in this chip, this gate will prevent the output data from moving to false data. inpu t in in Truth table inpu t 0 0 output 1 0 Previous value Previous value out input 20 Copyright 2008 FUJITSU LIMITED in in input out Truth table The latch is not upset due to one node data inversion and the latch should be soft error free. output 1 0 mid-voltage Previous value

22 対策ラッチの中性子加速試験 Normalized SER [A. U] 通常のラッチ DATA 1 対策ラッチ DATA 0 DATA 0 DATA VDD [V] Sample: 320 kbit-ff array chips DATA: ALL0, ALL1 [T. Uemura, 2008] 中性子加速試験では 中性子ソフトエラーを 1/10 に低減 21 Copyright 2008 FUJITSU LIMITED

23 なぜエラーが発生するか? シミュレーション 中性子加速試験 α 線加速試験結果 100% protection 90% protection 99.8% protection Current Current ion MNSEU (Multi-node-SEU) が発生 微細化が進むにつれ 二つのドレインの物理キョリは小さくなる 対策ラッチのソフトエラー耐性は弱くなる 22 Copyright 2008 FUJITSU LIMITED

24 対策ラッチの課題 [07. N. Seifert] テクノロジ世代が進むほど 同時に 2 カ所でノイズが発生する確率が上がる 回路での対策効果が低下する 23 Copyright 2008 FUJITSU LIMITED

25 新しいソフトエラー対策ラッチ SEILA 大規模なシステムでは 一つの電子デバイスにおいて 非常に高い信頼性が求められる ソフトエラー耐性を高めるため 新しい対策ラッチ SEILA (Soft Error Immune latch) を考案 24 Copyright 2008 FUJITSU LIMITED

26 MNSEU を防止する (DHC technology) クリティカルエリア Cancelling キャンセリングエリア クリティカルエリア [T. Uemura, 2010] クリティカルな二つのノードの間に それをキャンセリングするノードを間に配置 25 Copyright 2008 FUJITSU LIMITED

27 対策ラッチにおける 複数個所での電荷収集 Voltage [V] critical area データが反転 Time [pico sec] Voltage [V] Time [pico sec] 二つのクリティカルエリアでノイズが発生すると ラッチの保持データは反転 二つのクリティカルエリアと同時に 一つのキャンセリングエリアでノイズが発生すると ラッチの保持データは反転しない critical area & 1 cancelling area データが反転しない [T. Uemura, 2010] 26 Copyright 2008 FUJITSU LIMITED

28 SEU( データノードでのソフトエラー ) の評価 SER [A.U.] Un-robust latch DATA1 Un-robust latch DATA0 SEILA DATA1 SEILA DATA Voltage [V] [T. Uemura, 2010] 普通のラッチ 以前の対策ラッチ SEILA Normalized SER SEILA は さらに 10 倍以上ソフトエラー耐性が向上した 27 Copyright 2008 FUJITSU LIMITED

29 SEILA (Soft Error Immune Latch) の効果 対策なし クロック起因 対策ラッチ クロック起因 SEILA による対策 クロック起因 ラッチ内部 ラッチ内部 ラッチ内部 SEILA は 99.3% のラッチ内部のソフトエラーと ほとんどのクロック起因のソフトエラーを防止する 28 Copyright 2008 FUJITSU LIMITED

30 ソフトエラー評価のまとめ フィールド試験評価 最も信頼されるデータ 評価には長い時間と 大量のサンプルが必要になる 1 種類のデバイスに対して 約 1000sample 1year が必要 中性子加速試験評価 低いエラー率を 短時間で評価することが可能 1 種類のデバイスに対して 4~40[sample] 10~[hour] 対策効果の検証 実製品の評価 白色中性子のスペクトルが重要になる シミュレーション 全ての半導体デバイスの構成要素 全ての製品を 実験することは不可能 テクノロジの進歩が早く 実物が完成する前に評価する必要がある ありとあらゆる対象を評価できる手段として精度が必要 29 Copyright 2008 FUJITSU LIMITED

31

(Microsoft PowerPoint - \224z\225z\227p_\217\343\221\272.ppt)

(Microsoft PowerPoint - \224z\225z\227p_\217\343\221\272.ppt) ソフトエラー評価技術 対策技術の 研究開発戦略 富士通セミコンダクター 上村大樹 Fujitsu Semiconductor LTD. E-mail: uemura.taiki@jp.fujitsu.com Copyright 2008 FUJITSU LIMITED ソフトエラーの 測定技術と対策技術 ソフトエラーについて ソフトエラー評価技術 ソフトエラー対策技術 1 Copyright 2008

More information

Microsoft PowerPoint - 2-4_matsunaga

Microsoft PowerPoint - 2-4_matsunaga ソフトエラー対策用 EDA ツールの開発 九州大学大学院システム情報科学研究院松永裕介 設計ツールとフローの構築 安浦チーム対象範囲 ディペンダビリティアナライザ アーキテクチャ設計 RTL 設計 論理設計 ディペンダビリティエンハンサ ディペンダビリティアナライザ ディペンダビリティエンハンサディペンダビリティアナライザ ディペンダビリティエンハンサ 評価 解析 評価指標 設計変更 評価 解析 評価指標

More information

system.pptx

system.pptx 2011/5/11 NAIST CPU CPU 4 (UNIX)# (Windows)#... # (1U, 2U, 4U etc.)# (E-ATX, micro-atx, mini-itx etc.)# # #...# BIOS ROM OS# CD, DVD# n #...# # Bernoulli model: p Gilbert-Elliott model: G: good state#

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也 本研究課題の背景 (1/2) ( 従来技術とその問題点 ) LSI の微細化 高速化 低電圧化 - ノイズマージンの低下化 - ノイズ ( ソフトエラー,

More information

DA DA シンポジウム DAS2015 Design Automation Symposium 2015/8/26 65nm FD-SOI SOI (Sillicon On Insulatar) 65nm FD-SOI (Fully-Depleted SOI) 1.4

DA DA シンポジウム DAS2015 Design Automation Symposium 2015/8/26 65nm FD-SOI SOI (Sillicon On Insulatar) 65nm FD-SOI (Fully-Depleted SOI) 1.4 65nm FD-SOI SOI (Sillicon On Insulatar) 65nm FD-SOI (Fully-Depleted SOI).4 FD-SOI 8 FD-SOI Measurements and Evaluations of Soft error induced by Antenna Diode in 65 nm and SOTB Processes Eiji Sonezaki

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

Isotope News 2015年7月号 No.735

Isotope News 2015年7月号 No.735 通信品質を小型加速器中性子源が支える 宇宙線による通信機器の誤動作を再現し 未然に防ぐためのソフトエラー試験技術 岩下 秀徳 Iwashita Hidenori NTT ネットワークサービスシステム研究所 1 を実施することにより 事前にソフトエラーの はじめに 影響を把握でき 改善を行った後に実運用ネッ 近年 宇宙線によって生じる中性子線に因る 通信機器のソフトエラーが増加しつつある ソ トワークへ通信機器を導入することにより

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Enginee

Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Enginee Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Engineering, Kanazawa University, Kakuma-machi, Kanazawa-shi,

More information

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤 統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤り 悪意のある攻撃など ) に対して 統一的な視点からディジタルLSIシステムのディペンダビリティを確保するための設計技術の確立を目指す ディペンダビリティの解析と対策回路の合成を行うEA

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

重イオンビームを用いたシングルイベント効果の評価技術

重イオンビームを用いたシングルイベント効果の評価技術 半導体に対する三つの放射線影響 とその照射試験 小野田忍 独立行政法人 日本原子力研究開発機構 量子ビーム応用研究部門 半導体耐放射線性研究グループ o n o d a. s h i n o b u @ j a e a. g o. j p 027-3 4 6-9324 発表内容 2 1. 放射線と半導体 1. 放射線環境 2. 半導体に対する3つの放射線影響 2. 原子力機構 高崎量子応用研究所放射線照射施設の紹介

More information

natMg+86Krの反応による生成核からのβ線の測定とGEANTによるシミュレーションとの比較

natMg+86Krの反応による生成核からのβ線の測定とGEANTによるシミュレーションとの比較 nat Mg+ 86 Kr の反応による生成核からの β 線の測定と GEANT によるシミュレーションとの比較 田尻邦彦倉健一朗 下田研究室 目次 実験の目的 nat Mg+ 86 Kr 生成核からの β 線の測定 @RCNP 実験方法 実験結果 GEANT によるシミュレーション 解析 結果 まとめ 今後の課題 実験の目的 偏極した中性子過剰 Na アイソトープの β-γ-γ 同時測定実験を TRIUMF

More information

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI)

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI) DA DA シンポジウム 25 27 DAS25 Design Automation Symposium 25/8/26 28nm UTBB FDSOI SOI 28nm UTBB FDSOI Analysis of Soft Error Rates in a 28nm UTBB FDSOI Structure by DeviceLevel Simulation Shigehiro Umehara

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

-8 Conventional unstacked Latch Stacked Latch Alpha partcle Heavy ion Gate High-energy neutron CS [cm 2 /bit] -9 - / / 25 - No Error Liner

-8 Conventional unstacked Latch Stacked Latch Alpha partcle Heavy ion Gate High-energy neutron CS [cm 2 /bit] -9 - / / 25 - No Error Liner FDSOI FDSOI 3 65 nm FDSOI 25 nm 35 nm 4 MeV-cm 2 /mg 6 MeV-cm 2 /mg NMOS PMOS 6 MeV-cm 2 /mg 3D 6 MeV-cm 2 /mg Evaluation of a Radiation-Hardened Structure for Stacked Transistors in FDSOI Processes by

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

TOOLS for UR44 Release Notes for Windows

TOOLS for UR44 Release Notes for Windows TOOLS for UR44 V2.1.2 for Windows Release Notes TOOLS for UR44 V2.1.2 for Windows consists of the following programs. - V1.9.9 - Steinberg UR44 Applications V2.1.1 - Basic FX Suite V1.0.1 Steinberg UR44

More information

重イオンビームを用いたシングルイベント効果の評価技術

重イオンビームを用いたシングルイベント効果の評価技術 宇宙放射線が半導体に及ぼす 三つの放射線影響 小野田忍 独立行政法人 日本原子力研究開発機構 量子ビーム応用研究部門 半導体耐放射線性研究グループ O N O D A. S H I N O B U @ J A E A. G O. J P TEL: 027-3 4 6-9324 1. 放射線と半導体 1. 半導体を取り巻く放射線環境 2. 半導体に対する三つの放射線影響 発表内容 2. 原子力機構 高崎量子応用研究所

More information

Microsoft PowerPoint - VLD

Microsoft PowerPoint - VLD 微細化 LSIの信頼性諸問題とその解決策 VLD/SLDM 研究会 @2012 年 5 月 30 日 京都工芸繊維大学 工芸科学研究科電子システム工学専攻 小林和淑 1 概要 LSIの微細化はムーアの法則に従い 着々と進行している. 本講演では, 微細化したLSIが抱える経年劣化, 一時故障, ばらつきなどの信頼性関連の諸問題とその対応策について述べる. 2 講演内容 信頼性と可用性 ばらつきとその対応策

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

Journal of Geography 116 (6) Configuration of Rapid Digital Mapping System Using Tablet PC and its Application to Obtaining Ground Truth

Journal of Geography 116 (6) Configuration of Rapid Digital Mapping System Using Tablet PC and its Application to Obtaining Ground Truth Journal of Geography 116 (6) 749-758 2007 Configuration of Rapid Digital Mapping System Using Tablet PC and its Application to Obtaining Ground Truth Data: A Case Study of a Snow Survey in Chuetsu District,

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

デジタルカメラ用ISP:Milbeaut

デジタルカメラ用ISP:Milbeaut ISP Milbeaut Image Signal Processor: Milbeaut あらまし MilbeautISP Image Signal Processor 20 Mpixel Milbeaut6 MB91696AM MB91696AM Abstract Milbeaut is an image signal processor (ISP) that realizes a digital

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

ベース0516.indd

ベース0516.indd QlikView QlikView 2012 2 qlikview.com Business Discovery QlikTech QlikView QlikView QlikView QlikView 1 QlikView Server QlikTech QlikView Scaling Up vs. Scaling Out in a QlikView Environment 2 QlikView

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

NKK NEWS 2012

NKK NEWS 2012 2012Spring 42 CONTROLS SINGLE POINT OF CONTROL (S.P.O.C.) Introduction / Index INDEX Module Versions: C / D BECAUSE CONTROL IS LOGIC! www.42controls.com Introduction... 2 Console Desktop Version... 3

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

Microsoft Word - Meta70_Preferences.doc

Microsoft Word - Meta70_Preferences.doc Image Windows Preferences Edit, Preferences MetaMorph, MetaVue Image Windows Preferences Edit, Preferences Image Windows Preferences 1. Windows Image Placement: Acquire Overlay at Top Left Corner: 1 Acquire

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

Corrections of the Results of Airborne Monitoring Surveys by MEXT and Ibaraki Prefecture

Corrections of the Results of Airborne Monitoring Surveys by MEXT and Ibaraki Prefecture August 31, 2011 Corrections of the Results of Airborne Monitoring Surveys by MEXT and Ibaraki Prefecture The results of airborne monitoring survey by MEXT and Ibaraki prefecture released on August 30 contained

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション () 増幅回路の周波数特性 Frequency characteristic of amplifier circuit (2) 増幅回路の周波数特性 Frequency characteristic of amplifier circuit MOS トランジスタの高周波モデル High-frequency model for MOS FET ゲート酸化膜は薄いので G-S, G-D 間に静電容量が生じる

More information

Microsoft PowerPoint - ●日立伊部様CREST_Ibe4

Microsoft PowerPoint - ●日立伊部様CREST_Ibe4 CREST ディペンダブル VLSI システムの基盤技術 領域会議 2011 年 7 月 1,2 日科学技術振興機構 CMOS テ ハ イスの中性子起因ソフトエラーの定量化と対策技術開発戦略ー過去から未来へー IRPS2011での招待講演 IRPS 2011レポート 中性子線がボードのCPUやメモリなどを誤動作させる仕組み で紹介 [http://pc.watch.impress.co.jp/docs/news/event/20110505_443999.html

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 一般機器用 For Consumer Products 汎用パワーインダクタ Common Power Inductors HER series RoHS HER327 HER427 HER527 HER627 HER88 HER9 特徴 直流重畳特性に優れている為 DC-DC コンバータ用インダクタとして最適 ドラムコアとリングコアに異なる磁性材料を使い電流特性を向上 * 既存同サイズと比べて電流特性を約

More information

EVALUATION OF NOCTURNAL PENILE TUMESCENCE (NPT) IN THE DIFFERENTIAL DIAGNOSIS OF IMPOTENCE Masaharu Aoki, Yoshiaki Kumamoto, Kazutomi Mohri and Kazunori Ohno Department of Urology, Sapporo Medical College

More information

UltraScale デバイスの SEU 回復/軽減ソリューションで最大限のデザイン インテグリティを実現 (WP462)

UltraScale デバイスの SEU 回復/軽減ソリューションで最大限のデザイン インテグリティを実現 (WP462) ホワイトペーパー : UltraScale デバイス WP462 (v1.0) 2015 年 2 月 26 日 著者 : Derek Curd Eric Crabill UltraScale アーキテクチャは業界をリードする強力なソフトエラーソリューションを提供し 卓越した SEU 回復 / 軽減を実現します 概要 ザイリンクスが発表した UltraScale アーキテクチャは業界初となる ASIC

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

untitled

untitled 1 2 3 4 5 130mm 32mm UV-irradiation UV-cationic cure UV-cationic cure UV-cationic cure Thermal cationic Reaction heat cure Thermal cationic Cation Reaction heat cure Cation (a) UV-curing of

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

HA178L00 シリーズ

HA178L00 シリーズ HA178L00 100mA 3 RJJ03D0004-0100Z (Previous: ADJ-204-051A) Rev.1.00 2003.04.11 HA178L00 3 IC IC 150mA () 800mW ±8% A ±5% HA178L00P HA178L00PA HA178L00 HA178L00A HA178L00UA Rev.1.00, 2003.04.11, page 1

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

System to Diagnosis Concrete Deterioration with Spectroscopic Analysis IHI IHI IHI The most popular method for inspecting concrete structures for dete

System to Diagnosis Concrete Deterioration with Spectroscopic Analysis IHI IHI IHI The most popular method for inspecting concrete structures for dete System to Diagnosis Concrete Deterioration with Spectroscopic Analysis IHI IHI IHI The most popular method for inspecting concrete structures for deterioration ( for example, due to chloride attack ) is

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. E-mail: {ytamura,takai,tkato,tm}@vision.kuee.kyoto-u.ac.jp Abstract Current Wave Pattern Analysis for Anomaly

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

HD74HCT564, HD74HCT574

HD74HCT564, HD74HCT574 ご注意 安全設計に関するお願い 1. 弊社は品質 信頼性の向上に努めておりますが 半導体製品は故障が発生したり 誤動作する場合があります 弊社の半導体製品の故障又は誤動作によって結果として 人身事故 火災事故 社会的損害などを生じさせないような安全性を考慮した冗長設計 延焼対策設計 誤動作防止設計などの安全設計に十分ご留意ください 本資料ご利用に際しての留意事項 1. 本資料は お客様が用途に応じた適切なルネサステクノロジ製品をご購入いただくための参考資料であり

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

橡ボーダーライン.PDF

橡ボーダーライン.PDF 1 ( ) ( ) 2 3 4 ( ) 5 6 7 8 9 10 11 12 13 14 ( ) 15 16 17 18 19 20 ( ) 21 22 23 24 ( ) 25 26 27 28 29 30 ( ) 31 To be or not to be 32 33 34 35 36 37 38 ( ) 39 40 41 42 43 44 45 46 47 48 ( ) 49 50 51 52

More information

スライド 1

スライド 1 Nehalem 新マイクロアーキテクチャ スケーラブルシステムズ株式会社 はじめに 現在も続く x86 マイクロプロセッサマーケットでの競合において Intel と AMD という 2 つの会社は 常に新しい技術 製品を提供し マーケットでのシェアの獲得を目指しています この技術開発と製品開発では この 2 社はある時は 他社に対して優位な技術を開発し 製品面での優位性を示すことに成功してきましたが

More information

/ Motor Specifications Direct Motor Drive Ball Screws / Precision Ball Screw type MB / MB MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-p

/ Motor Specifications Direct Motor Drive Ball Screws / Precision Ball Screw type MB / MB MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-p / Motor Specifications MB Precision Ball Screw type MB / MoBo C3 5 5 Features A 5-pahse Stepping Motor is mounted directly onto the shaft end of a C3 grade precision Ball Screw, which is suitable for high

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal Inrush Current of Induction Motor on Applying Electric Power by Takao Itoi Abstract The transient currents flow into the windings of the induction motors when electric sources are suddenly applied to the

More information

202

202 201 Presenteeism 202 203 204 Table 1. Name Elements of Work Productivity Targeted Populations Measurement items of Presenteeism (Number of Items) Reliability Validity α α 205 α ä 206 Table 2. Factors of

More information

Donald Carl J. Choi, β ( )

Donald Carl J. Choi, β ( ) :: α β γ 200612296 20 10 17 1 3 2 α 3 2.1................................... 3 2.2................................... 4 2.3....................................... 6 2.4.......................................

More information

FUJITSU Software Systemwalker Centric Manager Lite Edition V13.5 機能紹介資料

FUJITSU Software Systemwalker Centric Manager Lite Edition V13.5 機能紹介資料 FUJITSU Software Systemwalker Centric Manager Lite Edition V13.5 機能ご紹介 2014 年 3 月富士通株式会社 目次 特長 機能 システム構成 プラットフォーム 各エディションの機能比較表 < ご参考 > Systemwalker Centric Manager Lite Edition は 被管理サーバの数が数台 ~30 サーバ以内の規模で

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

5 11 3 1....1 2. 5...4 (1)...5...6...7...17...22 (2)...70...71...72...77...82 (3)...85...86...87...92...97 (4)...101...102...103...112...117 (5)...121...122...123...125...128 1. 10 Web Web WG 5 4 5 ²

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

Accuracy check of grading of XCT Report Accuracy check of grading and calibration of CT value on the micro-focus XCT system Tetsuro Hirono Masahiro Ni

Accuracy check of grading of XCT Report Accuracy check of grading and calibration of CT value on the micro-focus XCT system Tetsuro Hirono Masahiro Ni JAMSTEC Rep. Res. Dev., Volume 8, November 2008, 29 36 X CTm/pixel X CT X CT. -. mol/l KI KI CT CT X CT CT ; - - +- -- hirono@ess.sci.osaka-u.ac.jp Accuracy check of grading of XCT Report Accuracy check

More information

2007-Kanai-paper.dvi

2007-Kanai-paper.dvi 19 Estimation of Sound Source Zone using The Arrival Time Interval 1080351 2008 3 7 S/N 2 2 2 i Abstract Estimation of Sound Source Zone using The Arrival Time Interval Koichiro Kanai The microphone array

More information

FreeSpace.book

FreeSpace.book IZA 190-HZ IZA 250-LZ ZA 190-HZ ZA 250-LZ FreeSpace Integrated Zone Amplifier/Zone Amplifier * 1. 2. 3. 4. 5. 6. 7. 8. 9. 2 2 10. 11. 12. 13. 14. 15. 16. 17. 40 C This product conforms to all EU Directive

More information

untitled

untitled Copyright - Zac Poonen (1999) This book has been copyrighted to prevent misuse. It should not be reprinted or translated without written permission from the author. Permission is however given for any

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

LinuxDeviceDriver2003-PDF.PDF

LinuxDeviceDriver2003-PDF.PDF Linux Kernel Conference 2003 Linux info@devdrv.com 2003/10/9 Device Drivers Limited 1 Linux 2.6 Device Drivers Limited 2 SpinLock Atomic (SMP) HyperThreading(HT) tasklet task_queue /proc Device Drivers

More information

Cisco UCS サーバでの訂正可能なメモリ エラーの 管理

Cisco UCS サーバでの訂正可能なメモリ エラーの 管理 ホワイトペーパー Cisco UCS サーバでの訂正可能なメモリエラーの管理 現在のメモリエラー処理技術では 訂正可能なエラーが生じたメモリモジュールと 訂正不能なエラーが生じたメモリモジュールは同様に扱い どちらのケースでも性能低下のフラグを設定し メモリをすぐに交換するよう促されます このドキュメントでは 訂正不能なエラーと訂正可能なエラーには技術 信頼性において相関関係がないことを示す実験に基づいた証拠を提示します

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

dr-timing-furukawa4.pptx[読み取り専用]

dr-timing-furukawa4.pptx[読み取り専用] < kazuro.furukawa @ kek.jp > 1 2 Remote controlled automatic pattern arbitrator" Manual pattern generator" Recent typical operation. ~37Hz for KEKB LER (3.5GeV e+) ~12.5Hz for KEKB HER (8GeV e ) ~0.5Hz

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

1 124

1 124 7 1 2 3 4 5 6 7 8 9 10 11 12 1 124 Phoenix - AwardBIOS CMOS Setup Utility Integrated Peripherals On-Chip Primary PCI IDE [Enabled] IDE Primary Master PIO [Auto] IDE Primary Slave PIO [Auto] IDE Primary

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

25 3 4

25 3 4 25 3 4 1 µ e + ν e +ν µ µ + e + +ν e + ν µ e e + TAC START STOP START veto START (2.04 ± 0.18)µs 1/2 STOP (2.09 ± 0.11)µs 1/8 G F /( c) 3 (1.21±0.09) 5 /GeV 2 (1.19±0.05) 5 /GeV 2 Weinberg θ W sin θ W

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

磁気測定によるオーステンパ ダクタイル鋳鉄の残留オーステナイト定量

磁気測定によるオーステンパ ダクタイル鋳鉄の残留オーステナイト定量 33 Non-destructive Measurement of Retained Austenite Content in Austempered Ductile Iron Yoshio Kato, Sen-ichi Yamada, Takayuki Kato, Takeshi Uno Austempered Ductile Iron (ADI) 100kg/mm 2 10 ADI 10 X ADI

More information