外部メモリ・インタフェース・ハンドブック Volume 3: アルテラ・メモリ・インタフェースIPの実装; セクション I. DDR およびDDR2 SDRAM 高性能コントローラ およびALTMEMPHY IP ユーザーガイド

Size: px
Start display at page:

Download "外部メモリ・インタフェース・ハンドブック Volume 3: アルテラ・メモリ・インタフェースIPの実装; セクション I. DDR およびDDR2 SDRAM 高性能コントローラ およびALTMEMPHY IP ユーザーガイド"

Transcription

1 101 Innovation Drive San Jose, CA EMI_DDR_UG-1.3

2 Copyright 2010 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services.

3 .... Altera Corporation

4 iv. Altera Corporation

5 v.. Altera Corporation

6 vi Altera Corporation

7 以下の表に本項の改訂履歴を示します 日付 バージョン 変更内容 2010 年 2 月 1.3 誤字脱字修正 2010 年 2 月 1.2 Stratix IV デバイスのフル サポート 初期化のタイミング図および HPC のキャリブレーションの段階を追加 2009 年 11 月 1.1 マイナー修正 2009 年 11 月 1.0 初版 Altera Corporation reliminary

8 viii Altera Corporation Preliminary

9 1. アルテラの DDR および DDR2 SDRAM 高性能コントローラ MegaCore ファンクションは 業界標準の DDR SDRAM および DDR2 SDRAM への簡略化されたインタフェースを提供します ALTMEMPHY メガファンクションは メモリに対する読み出しおよび書き込み動作を実行し メモリ コントローラとメモリ デバイス間のインタフェースとして使用されます この MegaCore ファンクションは アルテラの ALTMEMPHY メガファンクションと連携して動作します DDR および DDR2 SDRAM 高性能コントローラ MegaCore ファンクションおよび ALTMEMPHY メガファンクションは フル レートまたはハーフ レート DDR および DDR2 SDRAM インタフェースを提供します DDR および DDR2 SDRAM 高性能コントローラ MegaCore ファンクションは 2 つのコントローラ アーキテクチャを提供します それらは高性能コントローラ (HPC) および高性能コントローラ II(HPC II) です HPC II はより高い効率および最新機能を提供します 1 記述しないかぎり DDR 及び DDR2 SDRAM の高性能コントローラは HPC 及び HPC II を参照しています 図 1 1 に DDR または DDR2 SDRAM 高性能コントローラの MegaCore ファンクションを作成する トップレベル ファイルの例を含むシステム レベルのブロック図を示します ALTMEMPHY DLL (1) PLL 図 1 1 の注 : (1) Instantiate DLL Externally を選択する際 DLL が ALTMEMPHY メガファンクションの外部にインスタンス化されます MegaWizard Plug-In Manager は サンプル ドライバ および DDR または DDR2 SDRAM 高性能コントローラのカスタム バリエーションを含むトップレベル ファイルの例を生成します コントローラはインスタンス化された ALTMEMPHY のメガファンクションのインスタンスを PLL 及び DLL にインスタンス化します ALTMEMPHY メガファンクションの複数のインスタンスの間で DLL を共有する場合は オプションで ALTMEMPHY メガファンクションの外部に DLL をインスタンス化することができます PLL は複数のインスタンスに共用されてはいけませんが 複数のインスタンスの間で一部の PLL クロック出力を共有することができます トップレベル ファイルの例は シミュレーション 合成 およびハードウェア上で使用可能な完全に動作するデザインです サンプル ドライバは セルフ テスト モジュールでコントローラにリードとライトのコマンドを発行し リード データをチェックして パス / フェイルおよびテスト完了の信号を出力します Altera Corporation

10 1 2 ALTMEMPHY メガファンクションは メモリ デバイスとメモリ コントローラ間のデータパスを作成します メガファンクションは スタンドアロン製品として利用可能であり またはアルテラの高性能メモリ コントローラと併用して使用できます スタンドアロン製品の場合は ALTMEMPHY メガファンクションをカスタム コントローラまたはサードパーティ コントローラと共に使用することができます 表 1 1 に DDR と DDR2 SDRAM 高性能コントローラおよび ALTMEMPHY IP のリリースに関する情報を示します バージョンリリース月製品コードプロダクト ID ベンダ ID 項目 説明 9.1 SP 年 2 月 IP-SDRAM/HPDDR (DDR SDRAM HPC) IP-SDRAM/HPDDR2 (DDR2 SDRAM HPC) IP-HPMCII (HPC II) 00BE (DDR SDRAM) 00BF (DDR2 SDRAM) 00CO (ALTMEMPHY メガファンクション ) 6AF7 アルテラは Quartus II ソフトウェアの現行バージョンが 各 MegaCore ファンクションの前のバージョンをコンパイルできることを検証しています MegaCore IP Library Release Notes and Errata では この検証に例外がある場合に報告します アルテラは 1 リリースより前の MegaCore ファンクションのバージョンのコンパイルは検証していません DDR および DDR2 SDRAM 高性能コントローラおよび Quartus II の特定のバージョンの ALTMEMPHY メガファンクションについて詳しくは Quartus II Software Release Notes を参照してください MegaCore ファンクションは ターゲットのアルテラ デバイス ファミリに対し フル サポートあるいは暫定サポートを提供しています フル サポートとは メガファンクションがデバイス ファミリの機能要件およびタイミング要求値をすべて満たしており 生産デザインで使用可能であることを意味します 暫定サポートとは メガファンクションがすべての機能要件を満たしますが メガファンクションに対し デバイス ファミリについてのタイミング解析がまだ行われていることを意味します 表 1 2 に DDR と DDR2 SDRAM 高性能コントローラにより各アルテラ デバイス ファミリに提供されるサポートのレベルを示します Altera Corporation

11 1 3 デバイス ファミリ Arria GX Arria II GX Cyclone III Cyclone III LS Cyclone IV HardCopy II HardCopy III HardCopy IV E Stratix II Stratix II GX Stratix III Stratix IV その他のデバイス ファミリ サポートの種類フル サポート予備的なサポートフル サポート予備的なサポート予備的なサポートフル サポート予備的なサポート予備的なサポートフル サポートフル サポートフル サポートフル サポートサポートなし ALTMEMPHY メガファンクションは 以下の機能を提供しています セットアップが簡単 対応しているデバイスに対して DDR 及び DDR2 SDRAM 用の Altera の PHY Interface (AFI) のサポートを提供 キャリブレーションの自動化により 複雑なリード データ タイミング計算が不要 DDR および DDR2 SDRAM インタフェースに対する最大限の安定性能を保証する VT トラッキング クリティカル タイミング パスに関係なく 自蔵データパスがアルテラ コントローラまたはサードパーティ コントローラへの接続を実行 DDR および DDR2 SDRAM インタフェースのフル レートおよびハーフ レート 使いやすい MegaWizard インタフェース また 表 1 3 に DDR および DDR2 SDRAM HPC および HPC II により提供される機能を示しています コントローラのアーキテクチャ 機能 HPC HPC II ハーフ レート コントローラ v v AFI ALTMEMPHY のサポート v v Avalon Memory Mapped (MM) ローカル インタフェースのサポート v v ネイティブ ローカル インタフェースのサポート v Altera Corporation

12 1 4 順序のリード及びライトを含む先行バンクの管理の設定可能なコマンド v 追加のラテンシ v(1) t RC 緩和のマルチ キャスト ライトのオプションのサポート v アービタ的な Avalon バースト レングスのサポート v 4 のメモリバースト レングス v v(2) 8 のメモリバースト レングス v(3) フレキシブルな内部メモリ用のバースト アダプタ v 設定可能な Local-to-Memory のアドレスマッピング v ロー レイテンシ用の統合ハーフ レートのブリッジ v サイズおよびモード レジスタ設定のランタイム コンフィギュレー v ション およびメモリ タイミングのオプション パーシャル アレイ セルフ リフレッシュ (PASR) v 業界標準の DDR および DDR2 SDRAM デバイスおよび DIMM のサポート v v セルフ リフレッシュ コマンドのオプションのサポート v v ユーザーコントロールによるパワーダウン コマンドのオプションの v サポート プログラマブル タイム アウトを備えたパワーダウン コマンドのオプションのサポート v 自動プリチャージ リード コマンドおよび自動プリチャージ ライ v v ト コマンドのオプションのサポート ユーザー コントローラ リフレッシュのオプションのサポート v v SOPC Builder のフローにおける複数コントローラ クロックの共有のオ v v プション 統合された誤り訂正コード (ECC) 機能 72 ビット合 v v 統合された ECC 機能 40 ビット合 v 部分的ワード書き込みでオプションの自動誤り訂正 v SOPC Builder への対応 v v OpenCore Plus 評価のサポート v Quartus II IP Advisor のサポート v アルテラでサポートしている VHDL Verilog HDL シミュレータ上で使用可能な IP ファンクション シュミレーション モデル v v 表 1 3 の注 : 機能 (1) HPC II は t RCD - 1 以上の追加レイテンシ値をクロック サイクル (t CK ) 単位でサポートします (2) フル レート モードの場合 HPC II は 4 のメモリのバースト レングスのみをサポートします (3) ハーフ レート モードの場合 HPC II は 8 のメモリのバースト レングスのみをサポートします コントローラのアーキテクチャ HPC HPC II タイミング シミュレーション 2 のバースト レングス Altera Corporation

13 1 5 DM ピンがディセーブルされるとき ECC および非 ECC モードでの部分的バーストおよびアラインメントされていないバースト MegaCore 検証では シミュレーション テストを実行します アルテラでは DDR および DDR2 SDRAM 高性能コントローラの機能を保証するために 業界標準の Denali モデルを使用して 機能テストをカバーする徹底したランダムなダイレクト テストを実施しました 以下の項では ALTMEMPHY メガファンクション および DDR と DDR2 SDRAM 高性能コントローラ (HPC と HPC II) に関する情報を示します 以下のデバイスの場合 表 1 4 ~ 表 1 7 に Quartus II ソフトウェア バージョン 9.1 における AFI 付き ALTMEMPHY メガファンクションの標準的なサイズを示します Arria II GX (EP2AGX260FF35C4) デバイス Cyclone III (EP3C16F484C6) デバイス Stratix II (EP2S60F1020C3) デバイス Stratix III (EP3SL110F1152C2) デバイス Stratix IV (EP4SGX230HF35C2) デバイス 1 Arria GX および Stratix GX デバイスのリソース使用率は Stratix II デバイスと同様です PHY レート メモリ幅 ( ビット ) 組み合わせ ALUT 数 ロジック レジスタ数 M9K ブロック メモリ ALUT 数 ハーフ 8 1,428 1, ,480 1, ,787 1, ,867 2, フル 8 1, , ,287 1, ,303 1, 表 1 4 の注 : (1) 記載しているリソース使用率は AFI 付き ALTMEMPHY メガファンクションによって使用されるリソースのみを指しています メモリ コントローラのオーバーヘッドが追加されます Altera Corporation

14 1 6 PHY レート メモリ幅 ( ビット ) 組み合わせ ALUT 数 ロジック レジスタ数 M9K ブロック ハーフ 8 1,995 1, ,210 1, ,523 2, ,770 2,771 9 フル 8 1, , ,479 1, ,608 1,740 5 表 1 5 の注 : (1) 記載しているリソース使用率は AFI 付き ALTMEMPHY メガファンクションによって使用されるリソースのみを指しています メモリ コントローラのオーバーヘッドが追加されます PHY レート メモリ幅 ( ビット ) 組み合わせ ALUT 数 ロジック レジスタ数 M512K ブロック M4K ブロック ハーフ 8 1,444 1, ,494 1, ,795 2, ,870 2, 表 1 6 の注 : (1) 記載しているリソース使用率は AFI 付き ALTMEMPHY によって使用されるリソースのみを指しています メモリ コントローラのオーバーヘッドが追加されます (2) Arria および Stratix GX デバイスのリソース使用率は Stratix II デバイスと同様です PHY レート メモリ幅 ( ビット ) 組み合わせ ALUT 数 ロジック レジスタ数 M9K ブロック メモリ ALUT 数 ハーフ 8 1,356 1, ,423 1, ,805 2, ,902 2, フル 8 1, , ,319 1, ,337 1, 表 1 7 の注 : (1) 記載しているリソース使用率は AFI 付き ALTMEMPHY によって使用されるリソースのみを指しています メモリ コントローラのオーバーヘッドが追加されます Altera Corporation

15 1 7 表 1 8 ~ 表 1 13 に Arria GX Arria II GX Cyclone III Stratix II Stratix II GX Stratix III および Stratix IV デバイスに対して AFI 付き DDR または DDR2 SDRAM HPC (ALTMEMPHY を含む ) の標準的なサイズを示します コントローラ レート ローカル データ幅 ( ビット ) メモリ幅 ( ビット ) 組み合わせ ALUT 数 専用ロジック レジスタ数 メモリ M512 M4K ハーフ ,851 1, ,904 1, ,208 2, ,289 2, フル ,662 1, ,666 1, , ,758 2, コントローラ レート ローカル データ幅 ( ビット ) ローカル データ幅 ( ビット ) 組み合わせ ALUT 数 専用ロジック レジスタ数 メモリ (M9K) ハーフ ,837 1, ,894 1, ,201 2, ,279 2, フル , ,684 1, , ,738 2, コントローラ レート ローカル データ幅 ( ビット ) メモリ幅 ( ビット ) 組み合わせ ALUT 数 専用ロジック レジスタ数 メモリ (M9K) ハーフ ,683 1, ,905 1, ,224 2, ,478 3, フル ,386 1, ,526 1, ,257 2, ,385 2, Altera Corporation

16 1 8 コントローラ レート ローカル データ幅 ( ビット ) メモリ幅 ( ビット ) 組み合わせ ALUT 数 専用ロジック レジスタ数 メモリ M512 M4K ハーフ ,853 1, ,901 1, ,206 2, ,281 2, フル ,675 1, ,675 1, , ,743 2, コントローラ レート ローカル データ幅 ( ビット ) メモリ幅 ( ビット ) 組み合わせ ALUT 数 専用ロジック レジスタ数 メモリ (M9K) ハーフ ,752 1, ,824 1, ,210 2, ,321 2, フル ,622 1, ,630 1, , ,749 1,975 6 コントローラ レート ローカル データ幅 ( ビット ) メモリ幅 ( ビット ) 組み合わせ ALUT 数 専用ロジック レジスタ数 メモリ (M9K) ハーフ ,755 1, ,820 1, ,202 2, ,289 2,601 9 フル ,631 1, ,630 1, , ,743 1,983 5 表 1 14 ~ 表 1 18 に Arria II GX Cyclone III Stratix II Stratix II GX Stratix III および Stratix IV デバイスに対して DDR または DDR2 SDRAM HPC II(ALTMEMPHY を含む ) の標準的なサイズを示します Altera Corporation

17 1 9 コントローラ レート ローカル データ幅 ( ビット ) メモリ幅 ( ビット ) 組み合わせ ALUT 数 専用ロジック レジスタ数 メモリ (M9K) ハーフ ,038 2, ,156 2, ,649 3, ,716 3, フル ,860 1, ,900 1, ,138 2, ,187 2,251 9 コントローラ レート ローカル データ幅 ( ビット ) メモリ幅 ( ビット ) 組み合わせ ALUT 数 専用ロジック レジスタ数 メモリ (M9K) ハーフ ,229 1, , ,632 3, ,811 3, フル ,003 1, ,090 1, ,680 2, ,776 2, コントローラ レート ローカル データ幅 ( ビット ) メモリ幅 ( ビット ) 組み合わせ ALUT 数 専用ロジック レジスタ数 メモリ M512 M4K ハーフ ,063 1, ,122 2, ,433 3, ,517 3, フル ,818 1, ,833 1, ,869 2, ,906 2, Altera Corporation

18 1 10 コントローラ レート ローカル データ幅 ( ビット ) メモリ幅 ( ビット ) 組み合わせ ALUT 数 専用ロジック レジスタ数 メモリ (M9K) ハーフ ,907 1, ,997 2, ,392 2, ,464 3, フル ,859 1, ,872 1, ,948 2, ,914 2,378 6 コントローラ レート ローカル データ幅 ( ビット ) メモリ幅 ( ビット ) 組み合わせ ALUT 数 専用ロジック レジスタ数 M9K ハーフ ,935 1, ,018 2, ,405 2, ,475 3, フル ,856 1, ,872 1, ,938 2, ,962 2,404 6 DDR および DDR2 SDRAM 高性能コントローラ MegaCore ファンクションは MegaCore IP ライブラリの一部であり Quartus II ソフトウェアとともに配布されます また アルテラのウェブサイト ( からダウンロードすることもできます f システム要件とインストール手順については Altera Software Installation & Licensing を参照してください 図 1 2 に DDR および DDR2 高性能コントローラ MegaCore ファンクションをインストールした後のディレクトリ構造を示します ここで <path> がインストール ディレクトリです Windows でのデフォルトのインストール ディレクトリは c:\altera\<version> です Linux では /opt/altera<version> です Altera Corporation

19 1 11 <path> ip MegaCore r IP IP altera MegaCore IP common ddr3_high_perf DDR3 SDRAM MegaCore doc DDR3 SDRAM MegaCore lib 機能および性能が十分満足できて MegaCore ファンクションを製品に組み込む場合にのみ ライセンスを購入していただく必要があります DDR または DDR2 SDRAM HPC を使用する場合 アルテラ ウェブサイト ( からライセンス ファイルを要求して コンピュータにインストールできます ライセンス ファイルを要求すると アルテラから電子メールで license.dat ファイルが送信されます インターネットをご利用いただけないお客様は 販売代理店にお問い合わせください DDR または DDR2 HPC II を使用する場合 ライセンスを注文するには販売代理店にお問い合わせください アルテラの OpenCore Plus 評価機能は DDR または DDR2 SDRAM HPC にのみ適用できます OpenCore Plus 評価機能により 以下の処理を実行することができます システム内のメガファンクション ( アルテラ MegaCore ファンクションまたは AMPP SM メガファンクション ) の動作をシミュレーションする デザインの機能を検証したり サイズやスピードを迅速かつ簡単に評価する MegaCore ファンクションを含むデザインに対し デバイス プログラミング ファイルを生成する ( 時間に制限のあり ) デバイスをプログラムし デザインをハードウェア上で検証する メガファンクションのライセンスは お客様が機能と性能に満足し かつデザインを製品化する場合にのみ ご購入いただく必要があります OpenCore Plus ハードウェア評価は 以下の 2 種類の動作モードをサポートします Untethered( アンテザード ) デザインは制限時間のみ実行されます Altera Corporation

20 1 12 Tethered( テザード ) ボードとホスト コンピュータ間に接続が必要です デザイン内のすべてのメガファンクションが Tethered モードをサポートしている場合 デバイスはより長時間または無制限に動作できます 最も制限的な評価時間に達すると デバイス内のすべてのメガファンクションが同時にタイムアウトします デザイン内に複数のメガファンクションがある場合 特定のメガファンクションのタイムアウト動作は 他のメガファンクションのタイムアウト動作によってマスクされることがあります 1 MegaCore ファンクションの場合 アンテザード タイムアウトは 1 時間 テザード タイムアウト値は無制限です ハードウェア評価期限経過後にデザインは動作を停止し local_ready 出力が Low になります Altera Corporation

21 2. 以下のいずれかのフローを使用して DDR または DDR2 SDRAM 高性能コントローラ MegaCore ファンクションを実装できます SOPC Builder のフロー MegaWizard Plug-In Manager のフロー MegaWizard Plug-In Manager のフローを使用して ALTMEMPHY メガファンクションしかインスタンス化できません 図 2 1 に いずれかのフローを使用して Quartus II ソフトウェアでのシステムを構築するためのステージを示します SOPC Builder MegaWizard SOPC Builder Yes IP SOPC Builder のフローは 以下の利点を提供します Altera Corporation

22 2 2 シミュレーション環境を生成 カスタム コンポーネントを作成し それらをコンポーネント ウィザードを介して統合 すべてのコンポーネントを Avalon-MM インタフェースと相互接続 MegaWizard Plug-in Manager のフローは 以下の利点を提供します DDR または DDR2 SDRAM インタフェースから直接ペリフェラル デバイスまたはへのデザインが可能になる より高い周波数動作を達成 SOPC Builder のフローを使用して DDR および DDR2 SDRAM 高性能コントローラを新規または既存の SOPC Builder システムに直接追加できます また Nios II プロセッサ および scatter-gather DMA( ダイレクト メモリ アクセス ) コントローラなど 他の使用可能なコンポーネントも簡単に追加して DDR または DDR2 SDRAM 高性能コントローラを備えた SOPC Builder システムを迅速に構築することができます SOPC Builder は システム インタコネクタ ロジックおよびシステム シミュレーション環境を自動的に構築します f SOPC Builder について詳しくは Quartus II ハンドブック Volume 4 を参照してください SOPC Builder によるコントローラの使用方法の詳細は 外部メモリ インタフェース ハンドブック Volume 6 の DDR, DDR2, and DDR3 SDRAM Design Tutorials の項を参照してください Quartus II ソフトウェアについて詳しくは Quartus II Help を参照してください SOPC Builder のフローを使用して DDR および DDR2 SDRAM 高性能コントローラのパラメータを指定するには 以下のステップに従います 1. Quartus II ソフトウェアで New Project Wizard を使用して新規 Quartus II プロジェクトを作成します 2. Tools メニューの SOPC Builder をクリックします 3. 新しいシステムの場合 システム名と言語を指定します 4. System Contents タブからシステムに DDR or DDR2 SDRAM High-Performance Controller を追加します 1 DDR or DDR2 SDRAM High-Performance Controller は Memories and Memory Controllers フォルダ内の SDRAM フォルダにあります 5. Parameter Settings タブのすべてのページで必要なパラメータを指定します f パラメータについて詳しくは 3 1 ページの パラメータの設定 を参照してください 6. Finish をクリックして DDR または DDR2 SDRAM 高性能コントローラのパラメータ化を完了し システムに追加します Altera Corporation

23 2 3 SOPC Builder システムを終了するには 以下のステップを実行します 1. System Contents タブで Nios II Processor を選択し Add をクリックします 2. Nios II Processor ページの Core Nios II タブ内では Reset Vector および Exception Vector の場合 altmemddr を選択します 3. Reset Vector Offset および Exception Vector Offset をキャリブレーション プロセス中に ALTMEMPHY メガファンクションによって書き込まれない Avalon アドレスに変更します c ALTMEMPHY メガファンクションは リセットされるごとにメモリ インタフェース キャリブレーションを実行し アドレス範囲に書き込みます システム リセット中にメモリ内容を元のまま保持したい場合は これらのメモリ アドレスを使用しないようにします リセットするたびにフラッシュから SDRAM メモリの内容をリロードする場合 このステップは不要です v8.1 またはそれ以前のバージョンから Nios システム デザインをアップグレードする場合 Reset Vector Offset および Exception Vector Offset を AFI モードに変更するのを確認します メモリ アドレス範囲 0 0 ~ 0 1f に相当する Avalon-MM アドレスを計算するには バイトでメモリ インタフェース データバスの幅にメモリ アドレスを掛けます Avalon-MM アドレスの詳細は 表 2 1 を参照してください 外部メモリ インタフェース幅 リセット ベクトル オフセット 例外ベクトル オフセット A Finish をクリックします 5. System Contents タブで Interface Protocols および Serial を展開します 6. JTAG UART を選択して Add をクリックします 7. Finish をクリックします 1 アドレスのオーバーラップを警告するメッセージが表示される場合 System メニューで Auto Assign Base Addresses をクリックします ECC をイネーブルして IRQ のオーバーラップを警告するメッセージが表示される場合は System メニューで Auto Assign IRQ をクリックします 8. このシステム例では 不要なクロック ドメイン クロス ロジックを回避するために 他のすべてのモジュールが altmemddr_sysclk でクロックされるようにします Altera Corporation

24 Generate をクリックします 1 Quartus II IP File (.qip) は SOPC Builder によって生成されたファイルです このファイルでは生成された IP コアまたはシステムに関する情報を含みます 多くの場合.qip ファイルには Quartus II コンパイラ内で MegaCore ファンクションまたはシステムを処理するのに必要なアサインメントおよび情報がすべて含まれています 通常 SOPC Builder システムごとに それぞれ 1 つの.qip ファイルが生成されます ただし より複雑な SOPC Builder コンポーネントは別個の.qip ファイルを生成します したがって システムの.qip ファイルはコンポーネントの.qip ファイルを参照します 10. デザインをコンパイルします 4 1 ページの コンパイルおよびシミュレーション を参照してください MegaWizard Plug-In Manager のフローでは DDR および DDR2 SDRAM 高性能コントローラまたは ALTMEMPHY メガファンクションをカスタマイズし 手動でデザインに組み込むことができます 1 あるいは DDR および DDR2 SDRAM 高性能コントローラ デザインを起動するために IP Advisor を使用できます Quartus II Tools メニューの Advisors をポイントし IP Advisor をクリックします IP Advisor にはデザインに DDR2 SDRAM 高性能コントローラの選択 パラメータ化 評価 およびインスタンス化のための一連の推奨事項を通じてユーザーをガイドします 次に Quartus II の完全なデザインのコンパイレーションをガイドします f MegaWizard Plug-In Manager および IP Advisor について詳しくは Quartus II Help を参照してください MegaWizard Plug-in Manager のフローを使用して パラメータを指定するには 以下のステップに従います 1. Quartus II ソフトウェアで New Project Wizard を使用して新規 Quartus II プロジェクトを作成します 2. MegaWizard Plug-In Manager を起動するには Tools メニューで MegaWizard Plug-In Manager をクリックします DDR または DDR2 SDRAM 高性能コントローラは External Memory フォルダの下の Interfaces フォルダにあります ALTMEMPHY メガファンションは I/O フォルダにあります 1 <variation name> は プロジェクト名およびトップレベルのデザイン エンティティ名と異なる名前でなければなりません 3. Parameter Settings タブのすべてのページでパラメータを指定します Altera Corporation

25 2 5 f パラメータについて詳しくは 3 1 ページの パラメータの設定 を参照してください 4. EDA タブで Generate Simulation Model をオンにして 選択した言語で MegaCore ファンクション用の IP 機能シミュレーション モデルを生成します IP 機能シミュレーション モデルは Quartus II ソフトウェアで生成するサイクル精度の正確な VHDL または Verilog HDL モデルです c これらのシミュレーション モデルは シミュレーションの目的にのみ使用し 合成やその他の目的には使用しないでください これらのモデルを合成に使用すると 機能しないデザインが作成されます 1 一部のサードパーティ合成ツールでは 詳細なロジックは含まず MegaCore ファンクションの構造のみを含むネットリストを使用して MegaCore ファンクションを含むデザインの性能を最適化することができます 合成ツールでこの機能がサポートされている場合 Generate netlist をオンにします VHDL シミュレーション モデルをターゲットする場合も MegaWizard Plug-In Manager は Quartus II 合成用の <variation_name>_alt_mem_phy.v ファイルを生成します シミュレーションに使用することはいけません シミュレーションには 代わりに <variation_name>.vho ファイルをしてください ALTMEMPHY メガファンクションは動作検証のシミュレーション (Functional Simulation) のみサポートします ALTMEMPHY メガファンクションを使用するとき タイミング シミュレーションまたはゲート レベル シミュレーションを実行できません 5. Summary タブで 生成するファイルを選択します グレイのチェックマークは 自動的に生成されるファイルを示します その他のファイルはすべてオプションです 6. Finish をクリックして MegaCore ファンクションおよびサポートするファイルを生成します 生成レポートが表示されます 7. Quartus II プロジェクトで MegaCore ファンクションのインスタンスを生成する場合 現行の Quartus II のプロジェクトに.qip ファイルを追加するようと要求されます.qip ファイルをプロジェクトに追加するようと要求されるときに Yes をクリックします.qip ファイルを追加すると Nativelink への可視性をイネーブルします Nativelink はシミュレーションのためのライブラリを含むように.qip ファイルを必要とします 1.qip ファイルは MegaWizard インタフェースによって生成されたファイルで 生成された IP コアに関する情報を含みます 多くの場合.qip ファイルには Quartus II コンパイラ内で MegaCore ファンクションまたはシステムを処理するのに必要なアサインメントおよび情報がすべて含まれています MegaWizard インタフェースは MegaCore ファンクションごとに それぞれ 1 つの.qip ファイルを生成します Altera Corporation

26 生成レポートを表示した後 Exit をクリックして MegaWizard Plug-In Manager を閉じます 9. 高性能コントローラ (HPC または HPC II) の場合 <variation name>_example_top.v または.vhd ファイルがプロジェクトのトップ レベル デザイン ファイルになるように設定します a. File メニューの Open をクリックします b. <variation name>_example_top をブラウズして Open をクリックします c. Project メニューの Set as Top-Level Entity をクリックします 表 2 2 に ALTMEMPHY の生成されるファイルを示します ファイル名 alt_mem_phy_defines.v <variation_name>.ppf <variation_name>.qip <variation_name>.v/.vhd <variation_name>.vho <variation_name>_alt_mem_phy_seq_wrapper.vo/.vho <variation_name>.html <variation_name>_alt_mem_phy_seq_wrapper.v/.vhd <variation_name>_alt_mem_phy_seq.vhd 説明 インタフェースで使用される定数が含まれています このファイルは MegaWizard Plug-In Manager で選択される言語とは関係なく 常に Verilog HDL にあります ALTMEMPHY バリエーションの Pin Planner ファイル メガファンクションに関連付けられたファイルを含む ALTMEMPHY バリエーションの Quartus II IP ファイル MegaWizard Plug-In Manager で選択される言語とは関係なく 生成される ALTMEMPHY バリエーションのトップ レベル ファイル VHDL 用のみ機能シミュレーション モデルを含む MegaWizard Plug-In Manager で選択される言語とは関係なく 作成されるシミュレーション用のみのラッパー ファイル ( シーケンサ ファイルと呼ばれる ) メガファンクションで作成されたトップ レベル ファイルおよび使用されたポートがリストされます MegaWizard Plug-In Manager で選択される言語とは関係なく 作成されるコンパイル用のみのラッパー ファイル ( シーケンサ ファイルと呼ばれる ) キャリブレーションで使用されたシーケンサを含む このファイルは MegaWizard Plug-In Manager で選択される言語とは関係なく 常に VHDL 言語にあります Altera Corporation

27 2 7 ファイル名 <variation_name>_alt_mem_phy.v <variation name>_alt_mem_phy_pll_<device>.ppf <variation_name>_alt_mem_phy_pll.v/.vhd <variation_name>_alt_mem_phy_delay.vhd <variation_name>_alt_mem_phy_dq_dqs.vhd or.v <variation_name>_alt_mem_phy_dq_dqs_clearbox.txt <variation_name>_alt_mem_phy_pll.qip <variation_name>_alt_mem_phy_pll_bb.v/.cmp <variation_name>_alt_mem_phy_reconfig.qip <variation_name>_alt_mem_phy_reconfig.v/.vhd <variation_name>_alt_mem_phy_reconfig_bb.v/cmp <variation_name>_bb.v/.cmp <variation_name>_ddr_pins.tcl 説明 シーケンサを除いて ALTMEMPHY バリエーションのすべてのモジュールを含む このファイルは MegaWizard Plug-In Manager で選択される言語とは関係なく 常に Verilog HDL 言語にあります DDR3 SDRAM シーケンサは <variation_name>_alt_mem_phy_seq.vhd ファイルに含まれています この XML ファイルは Quartus II Pin Planner に対する MegaCore ピン属性を記述しています MegaWizard Plug-In Manager で選択される言語とは関係なく 生成される ALTMEMPHY バリエーションの PLL メガファンクションファイル シミュレーションの遅延モジュールを含む MegaWizard Plug-In Manager 出力 ファイルの言語として VHDL を選ぶ場合にのみ このファイルが生成されます DQ/DQS I/O 素子インタコネクトおよびインスタンスを含むファイルが生成されます Arria II GX デバイスのみです クリア ボックス フローを使用して <variation_name>_alt_mem_phy_dq_dqs ファイルを生成する仕様ファイル Arria II GX デバイスのみです メガファンクションに関連付けられたファイルを含む ALTMEMPHY バリエーションを使用する PLL の Quartus II IP ファイル ALTMEMPHY バリエーションで使用された PLL のブラック ボック ファイル 通常未使用です PLL リコンフィギュレーション ブロック用 Quartus II IP ファイル Arria GX HardCopy II Stratix II および Stratix II GX デバイスをターゲットとする際にのみ生成されます PLL リコンフィギュレーション ブロック モジュール Arria GX HardCopy II Stratix II および Stratix II GX デバイスをターゲットとする際にのみ生成されます PLL リコンフィギュレーション ブロック用ブラック ボック ファイル Arria GX HardCopy II Stratix II および Stratix II GX デバイスをターゲットとする際にのみ生成されます Verilog HDL または VHDL 言語を使用しているかどうかによる ALTMEMPHY バリエーションのブラック ボック ファイル <variation_name>_ddr_timing.sdc および <variation_name>_report_timing.tcl ファイルで使用された手順を含む Altera Corporation

28 2 8 ファイル名 <variation_name>_pin_assignments.tcl <variation_name>_ddr_timing.sdc <variation_name>_report_timing.tcl 説明 ALTMEMPHY の変動のための I/O 規格 ドライブ強度 出力イネーブル グループ DQ/DQS グルーピング および終端抵抗アサインメントを含む トップレベル デザインのピン名がデフォルトのピン名または接頭辞のバージョンに一致しない場合 このファイルのアサインメントを編集します ALTMEMPHY の変動のためのタイミング制約が含まれています コンパイル時に ALTMEMPHY バリエーションのためのタイミングをレポートするスクリプト 表 2 3 に <variation_name>_alt_mem_phy.v/.vhd ファイルでインスタンス化されたモジュールを示します 特定の ALTMEMPHY バリエーションは指定するメモリ規格に応じて モジュールのいずれも使用するかもしれません モジュール名使用方法説明 <variation_name>_alt_mem_phy_ addr_cmd <variation_name>_alt_mem_phy_ clk_reset <variation_name>_alt_mem_phy_ dp_io <variation_name>_alt_mem_phy_ mimic <variation_name>_alt_mem_phy_ oct_delay <variation_name>_alt_mem_phy_ postamble <variation_name>_alt_mem_phy_ read_dp <variation_name>_alt_mem_phy_ read_dp_group <variation_name>_alt_mem_phy_ rdata_valid すべての ALTMEMPHY バリエーション すべての ALTMEMPHY バリエーション すべての ALTMEMPHY バリエーション DDR2/DDR SDRAM ALTMEMPHY バリエーション ダイナミック OCT がイネーブルされるときの DDR2/DDR SDRAM ALTMEMPHY バリエーション DDR2/DDR SDRAM ALTMEMPHY バリエーション すべての ALTMEMPHY バリエーション (Stratix III または Stratix IV デバイスに未使用 ) DDR2/DDR SDRAM ALTMEMPHY バリエーション (Stratix III または Stratix IV デバイス使用のみ ) DDR2/DDR SDRAM ALTMEMPHY バリエーション アドレスおよびコマンド構造を生成します PLL DLL およびリセット ロジックをインスタンス化します DQ DQS DM および QVLD I/O ピンを生成します DDR および DDR2 SDRAM PHY 用に (VT) トラッキング メカニズムを作成します OCT 信号用に適切な遅延および期間を生成します DDR および DDR2 SDRAM PHY 用にポストアンブル イネーブルおよびディセーブル手法を生成します FIFO バッファのリードパス経由の I/O から 再同期化したクロックから PHY クロックの遷移までのリード データを読み込みます <variation_name>_alt_mem_phy_read_dp の DQS グループ バージョン シーケンサおよびコントローラにリード データ有効信号を生成します Altera Corporation

29 2 9 モジュール名使用方法説明 <variation_name>_alt_mem_phy_ seq_wrapper <variation_name>_alt_mem_phy_ write_dp <variation_name>_alt_mem_phy_ write_dp_fr すべての ALTMEMPHY バリエーション すべての ALTMEMPHY バリエーション DDR2/DDR SDRAM ALTMEMPHY バリエーション DDR および DDR2 SDRAM 用にシーケンサを生成します ハーフ レート データからフル レート DDR データにデータの逆多重化を生成します <variation_name>_alt_mem_phy_ write_dp のフル レート バージョン 表 2 4 ~ 表 2 6 に プロジェクト ディレクトリに存在する可能性がある高性能コントローラによって生成された追加ファイルを示します MegaWizard Plug-In Manager レポートに指定されるファイルの名前とタイプは デザインを VHDL または Verilog HDL のいずれで作成したかによって異なります 1 表 2 4~ 表 2 6 の他にも MegaWizard はまた 表 2 2 に _phy の接頭で ALTMEMPHY ファイルを生成します 例えば <variation_name>_alt_mem_phy_delay.vhd は <variation_name>_phy_alt_mem_phy_delay.vhd になります ファイル名 <variation name>.bsf <variation name>.html <variation name>.v or.vhd <variation name>.qip <variation name>.ppf <variation name>_example_driver.v または.vhd <variation name>_example_top.v または.vhd 説明 MegaCore ファンクションのバリエーション用 Quartus II シンボル ファイル Quartus II ブロック図エディタでこのファイルを使用できます MegaCore ファンクション レポート ファイルです カスタム MegaCore ファンクションの VHDL または Verilog HDL トップレベルの記述を定義する MegaCore ファンクション バリエーション ファイルです デザイン内部のこのファイルによって定義されたエンティティをインスタンスします Quartus II ソフトウェアでのデザインのコンパイル時にこのファイルが含まれています MegaCore ファンクション バリエーション用の Quartus II プロジェクト情報が含まれています この XML ファイルは Quartus II Pin Planner に対する MegaCore ピン属性を記述しています MegaCore ピン属性には ピンの方向 位置 I/O 規格のアサインメント およびドライブ強度などがあります IP Toolbench を Pin Planner アプリケーションの外側で起動する場合 Pin Planner を使用するにはこのファイルを明示的にロードしなければなりません バリエーションと一致する自己チェックのテスト ジェネレータのサンプルです Quartus II プロジェクト トップ レベルとして設定する必要があるトップレベルのデザイン ファイルの例です サンプル ドライバおよびコントローラをインスタンス化します Altera Corporation

30 2 10 ファイル名 <variation name>_auk_ddr_hp_controller_wrapper.vo または.vho <variation_name>_auk_ddr_hp_controller_ecc_wrapper.vo または.vho 説明 VHDL または Verilog HDL の IP 機能シミュレーション モデルです ECC 機能シミュレーション モデル ファイル名 <variation name>_alt_ddrx_controller_wrapper. v または.vho alt_ddrx_addr_cmd.v alt_ddrx_afi_block.v alt_ddrx_bank_tracking.v alt_ddrx_clock_and_reset.v alt_ddrx_cmd_queue.v alt_ddrx_controller.v alt_ddrx_csr.v alt_ddrx_ddr2_odt_gen.v alt_ddrx_avalon_if.v alt_ddrx_decoder_40.v alt_ddrx_decoder_72.v alt_ddrx_decoder.v alt_ddrx_encoder_40.v alt_ddrx_encoder_72.v 説明 alt_ddrx_controller.v ファイルをインスタンス化およびウィザードに応じてコントローラをコンフィギュレーションするコントローラのラッパです ステート マシーンの出力をメモリアドレス及びコマンドの信号にデコードします AFI 用のリードとライトのコントロール信号を生成します あいているメモリバンク内のロウ (ROW) を追跡します クロックおよびリセット ロジックが含まれています コマンド キューのロジックが含まれています すべてのサブ ブロックをインスタンス化するコントローラのトップレベル ファイルです コントロールおよびステータス レジスタのインタフェースのロジックが含まれています DDR2 メモリのインタフェース用 On-Die Termination(ODT) コントロール信号を生成します Avalon-MM インタフェースと通信します 40 ビット バージョンの ECC デコーダのロジックが含まれています 72 ビット バージョンの ECC デコーダのロジックが含まれています 適切な幅の ECC デコード ロジックをインスタンス化します 40 ビット バージョンの ECC エンコーダのロジックが含まれています 72 ビット バージョンの ECC エンコーダのロジックが含まれています alt_ddrx_encoder.v 適切な幅の ECC エンコーダ ロジックをインスタンス化します alt_ddrx_input_if.v 入力インタフェース ブロックです alt_ddrx_cmd_queue.v alt_ddrx_wdata_fifo.v および alt_ddrx_avalon_if.v のファイルをインスタンス化します alt_ddrx_odt_gen.v alt_ddrx_state_machine.v alt_ddrx_timers_fsm.v alt_ddrx_ddr2_odt_gen.v ファイルを選択的にインスタンス化します さらに ODT のアドレス手法も制御します コントローラの主要なステート マシンです 各バンクのタイミング パラメータを追跡するステート マシンです Altera Corporation

31 2 11 ファイル名 alt_ddrx_timers.v alt_ddrx_wdata_fifo.v alt_avalon_half_rate_bridge_constraints.sdc alt_avalon_half_rate_bridge.v 説明 alt_ddrx_timers_fsm.v をインスタンス化し ランク特定のタイミング トラッキング ロジックを含みます ライト データ FIFO のロジック このロジックは Avalon インタフェースからのライト データおよびバイト イネーブルを FIFO に基づいてソートします デザインで Enable Half Rate Bridge オプションがオンの場合 タイミング制約が含まれています 統合したハーフ レート ブリッジのロジックのブロックです Altera Corporation

32 2 12 Altera Corporation

33 3. ALTMEMPHY MegaWizard インタフェース ( 図 3 1) の ALTMEMPHY Parameter Settings ページは 以下の設定をパラメータ化することができます メモリ設定 PHY 設定 ボード設定 コントローラ インタフェース設定 Altera Corporation

34 3 2 Megawizard Plug-in Manager の下部にあるテキストのウィンドウに表示されるのは 非対応の機能を生成する時のメモリ インタフェース ワーニング及びエラーの情報です このウィンドウで表示されるすべてのエラーを訂正するまで Finish ボタンがディセーブルになっています 以下の項では 4 つタブの Parameter Settings ページについて詳細に説明します Memory Settings のタブでは システムに特定のメモリ デバイス及びデバイスの動作周波数を選択することができます General Settings では デバイス ファミリ スピード グレード およびクロック情報を選択できます ページの中央 ( 左側 ) では Memory Presets ダイアログ ボックスの右側に記載された利用可能なメモリ デバイスをフィルタすることができます 詳しくは 図 3 1 を参照してください 使用している正確なデバイスを検出できない場合 最も近い仕様を持つデバイスを選択して 次に Selected memory preset フィールドの横にある Modify parameters をクリックすることによって 手動でパラメタを変更し 実際のデバイスを合わせます 表 3 1 は ALTMEMPHY MegaWizard インタフェースの Memory Settings ページで提供されている General Settings を説明しています パラメータ名 Device family Speed grade PLL reference clock frequency Memory clock frequency Controller data rate Enable half rate bridge Local interface clock frequency Local interface width 説明 ターゲット デバイス ファミリ ( 例 : Stratix III)1 3 ページの表 1 2 に サポートされるデバイス ファミリを示します ここに選択されたデバイス ファミリは MegaWizard ページ 2a で選択されたデバイス ファミリと一致する必要があります デバイスの特定のスピード グレードを選択します ( 例 : Stratix III デバイス ファミリの場合は 2 3 または 4) 外部入力クロックから PLL へのクロック周波数を決定します 周波数は MHz または 100 MHz ぐらいではない場合 動作検証のシミュレーションおよび PLL ロック問題を回避するために 3 つの小数点を使用するのを確認します メモリ インタフェースのクロック周波数を決定します 達成可能な最大周波数より下にあるメモリ デバイスを動作している場合 メモリ デバイスでサポートされる達成可能な最大周波数ではなく 実際の動作周波数を入力することを確認します また 周波数は MHz または 400 MHz ぐらいではない場合 動作検証のシミュレーションおよび PLL ロック問題を回避するために 3 つの小数点を使用するのを確認します メモリ コントローラ用データ レートを選択します メモリ インタフェース周波数 ( フル レート ) またはメモリ インタフェース周波数の 1/2( ハーフ レート ) に等しいコントローラの周波数を設定します このオプションは HPC II にのみ使用できます メモリ クロック ドメインにコントローラを維持するためにオンされると メモリ クロック スピードの半分でローカル サイドが実行できます したがって レイテンシを削減することができます この値はメモリ クロック周波数 コントローラ データ レート および Enable Half Rate Bridge オプションをオンしているかどうかによって異なります この値はメモリ クロック周波数 コントローラ データ レート および Enable Half Rate Bridge オプションをオンしているかどうかによって異なります Altera Corporation

35 3 3 パラメータ名 Memory type 表 3 2 は 表示される Memory Presets をフィルタするのに使用できるオプションを説明しています このオプションのセットは DDR または DDR2 SDRAM のいずれかを作成しているかを示すところです 使用している実際のメモリ デバイスに最も近いまたは同じであるデバイスを Memory Presets リストで選択します 次に Modify Parameters ボタンをクリックして Preset Editor ダイアログボックス内の以下の設定をパラメータ化します メモリ属性 これらは DQ DQ ストローブ (DQS) アドレスとメモリ クロック ピンのシステム数を決定する設定です メモリ初期化オプション これらの設定は初期化プロセスの一部としてメモリ モード レジスタに格納されます メモリ タイミング パラメータ これらは PHY を作成して 時間制約するパラメタです 1 使用しているデバイスは Memory Presets に記載されていますが 一部のパラメータがメモリ デバイスのデータシートで更新されいる可能性があるので Preset Editor ダイアログ ボックスの中の設定が正確であることを確実します システムを反映するために 背景が白いパラメータを変更することができます また デバイス パラメータは使用しているデバイスに一致するように 背景がグレーのパラメータで変更することができます 背景がグレーのパラメータは選択されたメモリ デバイスの特性であり これらを変更すると新しいカスタム メモリ プリセットが作成されます Save As( ページの左下側の部分 ) をクリックして <quartus_install_dir>\quartus\common\ip\altera\altmemphy\lib\ ディレクトリに新しい設定を保存すると この新しいメモリ プリセットをソフトウェアの同じバージョンに作成した他の Quartus II プロジェクトで使用できます Save をクリックすると 新しいメモリ プリセットは Memory Settings タブで Memory Presets リストの下部に表示されます 1 デフォルト ディレクトリ以外のディレクトリに新しい設定を保存する場合 Memory Settings タブ内で Load Preset をクリックして Memory Presets リストに設定をロードします 説明 表示するメモリの種類をフィルタすることができます ( 例 : DDR2 SDRAM) ALTMEMPHY メガファンクションは DDR SDRAM および DDR2 SDRAM をサポートします Memory vendor ベンダによってメモリの種類をフィルタすることができます JEDEC もオプションの 1 つで JEDEC 規格を選択できます 選択されたベンダがリストされていない場合 DDR および DDR2 SDRAM インタフェースに JEDEC を選択できます そして 選択したデバイスと同様な仕様のあるデバイスを選択して 各パラメータの値をチェックします デバイス仕様を合わせるために 各パラメータ値を変更することを確認します Memory format Maximum frequency フォーマットによってメモリの種類をフィルタすることができます ( 例 : ディスクリート デバイスまたは DIMM パッケージ ) 最大動作周波数によってメモリの種類をフィルタすることができます 図 3 2 に DDR2 SDRAM に対する Preset Editor ダイアログ ボックを示します Altera Corporation

36 3 4 Advanced オプションは Arria II GX および Stratix IV デバイスにのみ利用できます このオプションでは FPGA によってキャリブレートされたメモリ仕様のパーセンテージを示します パーセンテージ値はアルテラのプロセス バリエーションに基づいて見積もられます 表 3 3 ~ 表 3 5 にメモリ属性 メモリ初期化オプション およびメモリ タイミング パラメータに使用できる DDR2 SDRAM パラメータを説明しています DDR SDRAM には同じパラメータがありますが 値の範囲は DDR2 SDRAM と異なります Altera Corporation

37 3 5 パラメータ名 範囲 (1) 単位 説明 Output clock pairs from FPGA 1 ~ 6 ペア FPGA からメモリにドライブされる差動クロック ペアの数を定義します 複数のデバイスにインタフェースするとき クロック ペア数が多くなるほど 各出力の負荷が減少します Arria IIGX Stratix III 及び Stratix IV デバイスの差動信号では メモリ クロック ピンは信号スプリッタ機能を使用します Memory chip selects または 8 ペア メモリ インタフェースでチップ セレクトの数を設定します チップ数の点ではメモリの深さです ローカル サイド バイナリはチップ セレクト アドレスをエンコードするので 示された範囲に制限されます 範囲が仕様を満たしていない場合は この値をより大きい数に設定できます しかしながら ALTMEMPHY メガファンクションの最上位のアドレス スペースは実際のメモリ アドレスのいずれにもマップされません ALTMEMPHY メガファンクションは複数のチップ セレクトと連携して すべてのチップ セレクト (mem_cs_n 信号 ) に対してキャリブレーションします Memory interface DQ width メモリ インタフェースの DQ ピンの総数を定義します 複数のデバイスにインタフェースする場合 デバイスあたりの DQ ピンの数とデバイスの数を掛けます GUI では 288 ビット DQ 幅を選択できますが インタフェース データ 幅がデバイスでピンの数によって制限されます 最高性能を達成するために インタフェース全体をデバイスの 1 つのサイドに維持します Memory vendor JEDEC Micron Qimonda Samsung Hynix Elpida Nanya など Memory format Maximum memory frequency ディスクリート デバイス バッファなし DIMM レジスタ付き DIMM メモリ デバイス データシートを参照 サポートされるすべてのメモリ規格用にメモリ ベンダの名称をリストします デバイスまたはモジュールにインタフェースするかどうかを指定します SODIMM はバッファなし DIMM またはレジスタ付き DIMM でサポートされています MHz メモリでサポートされる最大周波数を設定します Column address width 9 ~ 11 ビット インタフェース用にカラム アドレス ビット数を定義します Row address width ビット インタフェース用にロウ アドレス ビット数を定義します Bank address width 2 または 3 ビット インタフェース用にバンク アドレス ビット数 を定義します Altera Corporation

38 3 6 パラメータ名 範囲 (1) 単位 説明 Chip selects per DIMM DIMM あたりのチップ セレ ビット インタフェースの各 DIMM におけるチップ セレクト数を定義します クト DQ bits per DQS bit 4 または 8 ビット データ ストローブ (DQS) ピンあたりのデータ (DQ) ビット数を定義します Precharge address bit 8 または 10 ビット アドレス バスのどのビットをプリチャージ アドレス ビットとして使用するかを選択します Drive DM pins from FPGA Yes または No DM ピンが書き込み動作中に使用するかどうかを指定します アルテラ デバイスは 4 モード付き DM ピンをサポートしていません Maximum memory frequency for CAS latency 3.0 Maximum memory frequency for CAS latency 4.0 Maximum memory frequency for CAS latency 5.0 Maximum memory frequency for CAS latency 6.0 表 3 3 の注 : (1) 範囲の値は使用される実際のメモリ デバイスによって異なります 80 ~ 533 MHz ある CAS レイテンシあたりのメモリ データ シートによって周波数限界を指定します 選択された CAS レイテンがある動作周波数は この数を超えた場合 ALTMEMPHY MegaWizard インタフェースは警告を生成します パラメータ名 範囲 単位 説明 Memory burst length 4 または 8 ビート トランザクションごとに読み出しまたは書き込みの数を設定します 4 のメモリ バースト レングスはハーフ レート デザインのローカル バースト レングス 1 およびハーフ レート デザインのローカル バースト レングス 1 に相当します Memory burst ordering シーケンシャルまたはインタリーブド リード トランザクション時にメモリと FPGA 間でデータが転送される順序を制御します 詳細については メモリ デバイス データシートを参照してください Enable the DLL in the memory devices Yes または No Yes に設定した場合 メモリ デバイスの DLL をイネーブルします DLL がオフにされるとき アルテラは何らかの ALTMEMPHY 動作を保証しないために メモリ デバイスの DLL を常にイネーブルする必要があります DLL がオフにされるとき メモリ デバイスからのすべてのタイミングは無効です Altera Corporation

39 3 7 パラメータ名範囲単位説明 Memory drive strength setting Memory ODT setting 通常または低減 ディセーブルされる メモリ デバイスの出力バッファのドライブ強度を制御します 低減ドライブ強度は すべてのメモリ デバイスでサポートされるとは限りません デフォルト オプションは通常です オーム メモリの ODT 値を設定します DDR SDRAM インタフェースでは使用できません Memory CAS latency setting サイクル リード コマンドから メモリからの最初の出力 データまでの遅延はクロックサイクルに設定しま す パラメータ名範囲単位説明 t INIT ~ 1000 µs 最小メモリ初期化時間 リセット後 コントローラはこの期間中メモリに対していかなるコマンドも発行しません t MRD 2 ~ 39 ns 最小ロード モード レジスタ コマンド周期 コントローラは ロード モード レジスタ コマンドを発行してから他の任意のコマンドを発行するまでの この期間中待機します t MRD は DDR2 SDRAM 高性能コントローラで ns に指定され また Micron のデバイス データシートで t CK サイクルに関して指定されます データシート タイム t CK で指定されたサイクル数を掛けることによって t MRD を ns に変換する必要があります ここで t CK がメモリ デバイスの t CK ではなく メモリ動作周波数です t RAS 8 ~ 200 ns 最小アクティブ - プリチャージ時間 コントローラは アクティブ コマンドを発行してから同じバンクに対してプリチャージ コマンドを発行するまでのこの期間中待機します t RCD 4 ~ 65 ns 最小アクティブ リード / ライト時間 コントローラは アクティブ コマンドを発行した後 この期間中バンクに対してリードまたはライト コマンドを発行しません t RP 4 ~ 65 ns 最小プリチャージ コマンド周期 コントローラは プリチャージ コマンドを発行した後 この期間中バンクにアクセスしません t REFI 1 ~ µs リフレッシュ コマンド間の最大時間間隔 コントローラは ユーザー制御リフレッシュがオンになっていない限り この時間間隔で通常のリフレッシュを実行します t RFC 14 ~ 1651 ns 最小オート リフレッシュ コマンド周期 コントローラがオート リフレッシュ コマンドを発行した後 何か他の動作を実行するまで待機する時間の長さです t WR 4 ~ 65 ns 最小ライト リカバリ時間 コントローラは ライト トランザクションが終了してからプリチャージ コマンドを発行するまでのこの期間中待機します t WTR 1 ~ 3 t CK 最小ライト - リード コマンド遅延 コントローラは ライト コマンドが終了してから 同じバンクに対して次のリード コマンドを発行するまでのこの期間中待機します このタイミング パラメータはクロック サイクル数で指定され 値は整数に丸められます t AC 300 ~ 750 ps CK/CK# 信号からの DQ 出力アクセス時間 t DQSCK 100 ~ 750 ps CK/CK# 信号からの DQS 出力アクセス時間 Altera Corporation

40 3 8 パラメータ名 範囲 単位 説明 t DQSQ 100 ~ 500 ps DQS から DQ の最大スキュー ; グループごと アクセスごとの DQS から最後の有効 DQ まで t DQSS t CK ポジティブ DQS ラッチング エッジから関連するクロック エッジまで t DS 10 ~ 600 ps DQS を基準にした DQ および DM 入力のセットアップ時間 ここで DQS のスルー レート (DDR および DDR2 SDRAM インタフェースの場合 ) および DQS はシングル エンドまたは差動のいずれかに応じてディレートされた値を持っています (DDR2 SDRAM インタフェースの場合 ) 正確な数を使用しているのを確認し かつその入力された値は V IH (ac) 最小または V IL (ac) 最大ではなく V REF (dc) に基準されます この仕様のディレートする方法について詳しくは 3 9 ページの ディレート メモリ セットアップおよびホールド タイミング を参照してください t DH 10 ~ 600 ps DQS を基準にした DQ および DM 入力のホールド時間 ここで DQS のスルー レート (DDR および DDR2 SDRAM インタフェースの場合 ) および DQS はシングル エンドまたは差動のいずれかに応じてディレートされた値を持っています (DDR2 SDRAM インタフェースの場合 ) 正確な数を使用しているのを確認し かつその入力された値は V IH (dc) 最小または V IL (dc) 最大ではなく V REF (dc) に基準されます この仕様のディレートする方法について詳しくは 3 9 ページの ディレート メモリ セットアップおよびホールド タイミング を参照してください t DSH 0.1 ~ 0.5 t CK CK からの DQS 立ち下がりエッジホールド時間 t DSS 0.1 ~ 0.5 t CK CK セットアップへの DQS 立ち下がりエッジ t IH 100 ~ 1000 ps アドレスおよびコントロール入力のホールド時間 ここで CK と CK# クロックおよびアドレスとコマンド信号のスルー レートに応じてディレートされた値を持っています 正確な数を使用しているのを確認し かつその入力された値は V IH (dc) 最小または V IL (dc) 最大ではなく V REF (dc) に基準されます この仕様のディレートする方法について詳しくは 3 9 ページの ディレート メモリ セットアップおよびホールド タイミング を参照してください t IS 100 ~ 1000 ps アドレスおよびコントロール入力のセットアップ時間 ここで CK と CK# クロックおよびアドレスとコマンド信号のスルー レートに応じてディレートされた値を持っています 正確な数を使用しているのを確認し かつその入力された値は V IH (ac) 最小または V IL (ac) 最大ではなく V REF (dc) に基準されます この仕様のディレートする方法について詳しくは 3 9 ページの ディレート メモリ セットアップおよびホールド タイミング を参照してください t QHS 100 ~ 700 ps 最大データ ホールド スキュー係数 t RRD 2.06 ~ 64 ns 各デバイスのアクティブにする時間 RAS-to-RAS のタイミング遅延のパラメータ t FAW 7.69 ~ 256 ns 各デバイスに 4 つのアクティブしたウィンドウ タイム t RTP ns 読み込みからプリチャージまでの時間 表 3 5 の注 : (1) パラメータの範囲については メモリ デバイス データシートを参照してください パラメータによっては クロック サイクル (t CK ) 単位で記載されている場合があります MegaWizard Plug-In Manager で値を時間単位 (ps または ns) で入力する必要がある場合は インタフェースのクロック周期を掛けて 数値を変換してください ( メモリ データシートに記載されている最大クロック周期ではありません ) Altera Corporation

41 3 9 メモリ デバイス データシートからのベース セットアップおよびホールド タイム仕様がアルテラ デバイスに仮定するスルーレートが真ではない場合があるので Preset Editor ダイアログ ボックス内で 以下のメモリ デバイス仕様をディレートして アップデートします t DS t DH t IH t IS 1 Arria II GX および Stratix IV デバイスに対して Preset Editor を使用してディレートする必要があります V REF に参照されるパラメータのみを入力する必要があり そして Board Settings タブにあるスルー レート情報を入力すると ディレーションが自動的に行われます 値をディレーティングした後 アルテラの入力および出力仕様が V REF に参照されたので ディレートされる値を正規化する必要があります しかし JEDEC ベース セットアップ タイム仕様は V IH /V IL AC レベルに参照される ;JEDEC ベース ホールド タイム仕様は V IH /V IL AC レベルに参照されます メモリ デバイス セットアップおよびホールド タイム数は V REF にディレートおよび正規化されると タイミング制約が正しく設定されているのを確認するために Preset Editor ダイアログ ボックス内の値を便新します 例えば JEDEC に従って 400-MHz DDR2 SDRAM は以下の仕様を備えており 1V/ns DQ のスルー レート立ち上がり信号および 2V/ns の差動スルー レートを仮定します ベース t DS = 50 ベース t DH = 125 V IH (ac) = V REF V V IH (dc) = V REF V V IL (ac) = V REF V V IL (dc) = V REF V MHz の最大周波数を備えた任意の DDR2 SDRAM コンポーネントに対して シングル エンドまたは差動 DQS 信号方式のいずれかを使用しても JEDEC は t DS および t DH 仕様にベースおよびディレーティング数の 2 つの異なるセットをリストします また V IL (ac) および V IH (ac) の値は これらのデバイスとは異なる場合があります 立ち上がりエッジの VREF 参考セットアップおよびホールド信号は t DS (V REF ) = ベース t DS + デルタ t DS + (V IH (ac) - V REF )/slew_rate = = 250 ps t DH (V REF ) = ベース t DH + デルタ t DH + (V IH (dc) - V REF )/slew_rate = = ps ライト データの出力スルー レートは 1V/ns と異なる場合 最初に t DS および t DH の値をディレートして これらの AC/DC レベル仕様を V REF 仕様に変換します Altera Corporation

42 3 10 2V/ns DQ スルー レート立ち下がり信号および 2 V/ns DQS-DQSn スルー レートの場合は t DS (V REF ) = ベース t DS + デルタ t DS + (V IH (ac) - V REF )/slew_rate = = 225 ps t DH (V REF ) = ベース t DH + デルタ t DH + (V IH (dc) - V REF )/slew_rate = = ps 0.5 V/ns DQ スルー レート立ち下がり信号および 1 V/ns DQS-DQSn スルー レートの場合は t DS (V REF ) = ベース t DS + デルタ t DS + (V IH (ac) - V REF )/slew_rate = = 425 ps t DH (V REF ) = ベース t DH + デルタ t DH + (V IH (dc) - V REF )/slew_rate = = 285 ps Altera Corporation

43 3 11 Next または PHY Settings タブをクリックして 表 3 6 で説明されているオプションを設定します ターゲット アルテラ デバイスに適用する場合 オプションが使用できます パラメータ名 Use dedicated PLL outputs to drive memory clocks Dedicated memory clock phase 適用できるデバイス ファミリ HardCopy II および Stratix II(HardCopy II 用のプロトタイプ ) HardCopy II および Stratix II(HardCopy II 用のプロトタイプ ) Use differential DQS Arria II GX Stratix III および Stratix IV Enable external access to reconfigure PLL prior to calibration Instantiate DLL externally HardCopy II および Stratix II(HardCopy II 用のプロトタイプ ) Cyclone III デバイスを除く サポートされているすべてのデバイス ファミリ 説明 専用の PLL 出力を使用してクロックを生成する場合はオンにします HardCopy II ASIC およびその Stratix II FPGA プロトタイプではこれが必須です オフにすると DDIO 出力レジスタはクロック出力を生成します DDIO 出力レジスタをメモリ クロックに使用すると メモリ クロックと DQS 信号の両方が十分にアラインメントされて t DQSS 仕様を容易に満たします しかし 専用クロック出力をメモリ クロックに使用すると メモリ クロックと DQS 信号が適切にアラインメントされず 信号を一緒にアラインメントするために PLL から正の位相オフセットが必要になります PLL の出力を使用してメモリクロックをドライブする場合に CK/CK# 信号と DQS/DQS# 信号をアラインメントさせる時の必要な位相シフトです この機能をイネーブルして シグナル インテグリティを改善します 333 MHz 以上の動作で推奨されます DDR SDRAM は差動 DQSS をサポートしていないので DDR2 SDRAM にのみ適用します Stratix II および HardCopy II デバイス用にこのオプションをイネーブルすると ALTPLL_RECONFIG メガファンクションの入力はデバッグ目的にトップレベルになります このオプションにより キャリブレーションの前に PLL をリコンフィギュレーションし 必要に応じてリード側で再同期化クロックのキャリブレーションを開始する前に メモリ クロック (mem_clk_2x) の位相を調整できます リード側の再同期化クロックのキャリブレーションは ライト側のメモリ クロックの位相に依存します DQS キャプチャ クロックに非標準位相シフトを適用する場合 このオプションを Stratix III Stratix IV HardCopy III または HardCopy IV デバイスで使用します そして ALTMEMPHY DLL オフセッティング I/O は外部 DLL およびオフセット コントロール ブロックに接続できます Cyclone III デバイスは DLL がないので この機能はサポートされていません Altera Corporation

44 3 12 パラメータ名 Enable dynamic parallel on-chip termination Stratix III および Stratix IV Clock phase Arria II GX Arria GX Cyclone III HardCopy II Stratix II および Stratix II GX このオプションは I/O インピーダンス マッチングおよび終端抵抗機能を提供します ALTMEMPHY メガファンクションは このオプションがチェックされている状態で 読み出し時に並列終端抵抗および書き込み時に直列終端抵抗をイネーブルします DDR および DDR2 SDRAM インタフェースにのみ適用されます ここで DQ および DQS 信号は双方向です ダイナミック終端抵抗の使用は OCT キャリブレーション ブロックを使用する必要があります ここで R UP /R DN ピンの位置に応じて DQS/DQ ピンの配置が制限されることがあります DDR SDRAM は ODT をサポートしていませんが アルテラの FPGA でダイナミック OCT はまだサポートされます 詳細は Stratix III デバイス ハンドブック Volume 1 の Stratix III デバイスの外部メモリ インタフェース の章または Stratix IV デバイス ハンドブック Volume 1 の Stratix IV デバイスの外部メモリ インタフェース の章のいずれかを参照してください アドレスおよびコマンド フェーズを調整することで メモリ デバイスにおけるアドレスおよびコマンドのセットアップおよびホールド マージンを改善して 負荷によって変動する伝播遅延を補正することができます phy_clk および write_clk 信号の立ち上がりエッジ時間と立ち下がりエッジ時間に基づいて および 270 から選択できます Stratix IV および Stratix III デバイスで クロック位相は dedicated に設定されます Dedicated clock phase Stratix III および Stratix IV アドレスおよびコマンドに専用 PLL 出力を使用するとき アドレスおよびコマンド信号のセットアップおよびホールド マージンを改善するために いずれかの正当な PLL の位相シフトを選択できます この値を 180 と 359 の間に設定できて デフォルト値は 240 です しかしながら 一般に PHY タイミングはハーフ レート デザインの場合 240 およびフル レート デザインの場合 270 以上の値を必要とします Board skew Autocalibration simulation options 適用できるデバイス ファミリ Arria II GX および Stratix IV デバイスを除く サポートされるすべてのデバイス ファミリ サポートされるすべてのデバイス ファミリ 説明 FPGA からメモリ ( ディスクリート メモリ デバイスまたは DIMM のいずれか ) へインタフェース全体に対する任意の 2 つのメモリ インタフェース信号間の最大スキュー このパラメータはすべての種類の信号 ( データ ストローブ クロック アドレス コマンドの各信号 ) を含みます DQS/DQ グループ内 全グループ またはアドレス / コマンドおよびクロック信号間のワースト ケースのスキューを入力する必要があります このパラメータは.sdc ファイルでタイミング制約を生成します Full Calibration( 長いシミュレーション時間 ) Quick Calibration または Skip Calibration のいずれかを選択します 詳しくは 外部メモリ インタフェース ハンドブック Volume 4 の シミュレーション の章を参照してください Altera Corporation

45 3 13 Next または Board Settings タブをクリックして 表 3 7 で説明されているオプションを設定します ボード設定パラメータはタイミング解析のボード レベルの影響をモデル化するために設定されます インタフェースに Arria II GX または Stratix IV デバイスを選択する場合 このオプションが使用できます そうしないと オプションがディセーブルされます パラメータ名 単位 説明 Number of slots/discrete devices シングル ランクまたはマルチ ランク コンフィギュレーションを設定します CK/CK# slew rate (differential) V/ns CK および CK# 信号の差動スルー レートを設定します Addr/command slew rate V/ns アドレスおよびコマンド信号のスルー レートを設定します DQ/DQS# slew rate (differential) V/ns DQ および DQS# 信号の差動スルー レートを設定します DQ slew rate V/ns DQ 信号のスルー レートを設定します Addr/command eye reduction (setup) ns アドレスおよびコマンド信号での ISI によるセットアップ サイドのアイ ダイアグラムに削減を設定します Addr/command eye reduction (hold) ns アドレスおよびコマンド信号での ISI によるホールド サイドのアイ ダイアグラムに削減を設定します DQ eye reduction ns DQ 信号での ISI によるセットアップ サイドのアイ ダイアグラムに全削減を設定します Delta DQS arrival time ns ISI による DQS の到達時間の範囲でバリエーションの増加を設定します Max skew between DIMMs/devices ns 特に異なるスロットの DIMM に対して ランク間の DQ 信号で最大スキューまたは伝播遅延を設定します DIMM およびデバイスの両方に対して この値はマルチランク コンフィギュレーションにおける DDR2 インタフェースの再同期化マージンに影響します Max skew within DQS groups ns DQS グループにおける DQ ピン間の最大スキューを設定します この値はすべてのコンフィギュレーション ( シングル ランクまたはマルチ ランク DIMM またはデバイス ) における DDR2 インタフェースのリード キャプチャおよびライト マージンに影響します Max skew between DQS group ns 異なる DQS グループにおける DQS 信号間の最大スキューを設定します この値はシングル ランクまたはマルチ ランク コンフィギュレーションの両方における DDR2 インタフェースの再同期化マージンに影響します Addr/command to CK skew ns CK 信号およびアドレスとコマンド信号間のスキューまたは伝播遅延を設定します 正の値は CK 信号より長いアドレスおよびコマンド信号を表し そして負の値は CK 信号より短いアドレスおよびコマンド信号を表します このスキューはアドレス / コマンド信号の遅延を最適化して DDR2 インタフェースの適切なセットアップ およびホールド マージンを持つために Quartus II ソフトウェアによって使用されます Altera Corporation

46 3 14 Controller Interface Settings タブでは DDR および DDR2 SDRAM の ALTMEMPHY メガファンクションによって要求されるローカル インタフェース用のネイティブ インタフェースまたはデフォルトの Avalon-MM インタフェースを指定できます コントローラから PHY インタフェース プロトコルへの AFI を選択する場合 このオプションがディセーブルされます Avalon-MM インタフェースは これらのバリエーションでサポートされる唯一のローカル インタフェースです 1 アルテラでは新しいデザインに AFI を使用 ; 既存のデザインにのみ非 AFI を使用することを推奨します ネイティブ インタフェースは Avalon-MM インタフェースのスーパーセットであり Avalon-MM インタフェース信号の以外に 以下の追加の信号が含まれています local_init_done local_refresh_req local_refresh_ack local_wdata_req これらの信号は Avalon-MM バス プロトコルで不可能であるその他の情報とコントロールを提供します ネイティブおよび Avalon-MM ローカル インタフェース間のその他の差はライト トランザクションにあります Avalon-MM インタフェースでは ライト データはライト要求と共に提示されています ネイティブ インタフェースでは ライト データ ( バイト イネーブル ) は local_wdata_req 信号がアサートされた後でクロック サイクルに提示されます Avalon-MM インタフェースは local_wdata_req 信号を使用しません 1 ネイティブおよび Avalon-MM インタフェースの間に レイテンシの差がありません DDR および DDR2 SDRAM 高性能コントローラ MegaWizard インタフェース ( 図 3 3) の DDR or DDR2 SDRAM High-Performance Controller Parameter Settings ページで 以下の設定をパラメータ化することができます メモリ設定 PHY 設定 PHY 設定 コントローラ設定 メモリ設定 PHY 設定 およびボード設定タブは ALTMEMPHY Parameter Settings ページと同じオプションを提供します Altera Corporation

47 3 15 Altera Corporation

48 3 16 表 3 8 に Controller Settings タブで提供されたオプションを示します パラメータ名 コントローラ アーキテクチャ 説明 Controller architecture コントローラ アーキテクチャを指定します Enable self-refresh controls 両方あり コントローラが外部メモリ デバイスをセルフ リフレッシュ モードに置く時間を制御することができるようにオンにし 7 8 ページの ユーザーが制御したセルフ リフレッシュ ロジック を参照してください Enable power down controls HPC コントローラが外部メモリ デバイスをパワーダウン モードに置く時間を制御することができるようにオンにします Enable auto power down HPC II 指定されたアイドル コントローラ クロック サイクル数はコントローラで観測された後 コントローラが自動的に外部メモリ デバイスをパワーダウン モードに置くようにオンにします コントローラが Auto Power Down Cycles フィールドにメモリをパワーダウンした後に アイドル サイクル数を指定することができて 7 8 ページの 自動パワーダウンかつプログラマブル タイムアウト を参照してください Auto power down cycles HPC II コントローラが外部メモリ デバイスをパワーダウン モードに置く前に 目的のアイドル コントローラ クロック サイクル数を決定します 正当な範囲は 1 ~ 65,535 です 値を 0 クロック サイクルに設定する場合 自動パワーダウン モードがディセーブルされます Enable user auto-refresh controls 両方あり コントローラが外部メモリ デバイスをリフレッシュ モードに置く時間を制御することができるようにオンにします Enable auto-precharge control 両方あり コントローラ トップ レベル上の自動プリチャージ コントロールをイネーブルするように オンにします リードおよびライト バーストを要求している間に自動プリチャージ コントロール信号をアサートすることで コントローラが現在開いているページをライトまたはリード バーストの最後に閉じる必要があるかどうか ( 自動プリチャージ ) を指定できます Altera Corporation

49 3 17 パラメータ名 Local-to-memory address mapping Command queue look-ahead depth コントローラ アーキテクチャ HPC II HPC II Avalon インタフェース上のアドレス ビットとメモリ インタフェース上のチップ ロウ バンクとカラム ビット間のマッピングを制御できます アプリケーションがメモリ デバイスのカラム サイズより大きいバーストを発行する場合 Chip-Row-Bank-Column オプションを選択します このオプションで コントローラはバーストがカラムの終わりに到着するとき 現在開いているロウを変更するという効果を非表示にするのに先行バンク管理機能を使用できます 他方 アプリケーションに複数のマスターが独立したメモリの領域を使用する場合は Chip-Bank-Row-Column のオプションを選択してください このオプションで メモリに物理バンクを各マスターに割り当てるのにトップ アドレス ビットを使用できます 物理的なバンクの割り当てにより 複数のマスターが同時に同じバンクをアクセスすることを避けられます そうしないと コントローラーが同じバンクのロウを開いたり閉じたりする必要があるため 効率が下がっています このオプションで 先行バンクの管理にリード及びライトのリクエスト数をコントロールするために 先行コマンドの列の深さのレベルが選択できます 7 5 ページの コマンド キュー を参照してください Local maximum burst count HPC II コントローラ スレーブ ポートが受け入れる最大の Avalon バースト カウントをコンフィギュレーションす るためにバースト カウントを指定します Enable configuration and status register interface Enable error detection and correction logic HPC II 両方あり 説明 ランタイム コンフィギュレーションおよびメモリ コントローラのステータス検索をイネーブルするのにオンにします このオプションをイネーブルにすると 追加 Avalon-MM スレーブ ポートはメモリコントローラ トップレベルに加えて メモリ タイミング パラメータ メモリ アドレス サイズとモード レジスタ設定 およびコントローラ機能のランタイム コンフィギュレーションおよびステータス検索が可能にします Error Detection and Correction Logic がイネーブルされるとき 同じスレーブ ポートで このロジックのステータスを制御して 検索することもできます 7 8 ページの コンフィギュレーションおよびステータス レジスタ (CSR) インタフェース を参照してください シングル ビット誤り訂正およびダブル ビット エラー検出のための誤り訂正コード (ECC) をイネーブルするのにオンにします HPC および HPC II の場合 それぞれ 6 6 ページの 誤り訂正コード (ECC) および 7 8 ページの 誤り訂正コード (ECC) を参照してください Altera Corporation

50 3 18 パラメータ名 コントローラ アーキテクチャ 説明 Enable auto error correction HPC II ECC ロジックはシングル ビット エラーを検出するとき オンにしてコントローラはオート訂正を実行します あるいは より良いシステム効率のため 希望の時にこのオプションをオフにして 誤り訂正をケジュールすることができます 7 8 ページの 誤り訂正コード (ECC) を参照してください Enable multi-cast write control Multiple controller clock sharing HPC II 両方あり コントローラ トップレベル上のマルチ キャスト ライト コントロールをイネーブルするようにオンにします ライト バーストを要求するときマルチ キャスト ライト コントロールをアサートすると メモリ システムのすべてのチップ セレクトに書き込むライト データが生成されます マルチ キャスト ライトはレジスタされた DIMM インタフェースまたは ECC が有効な場合でサポートされていません このオプションは SOPC Builder のフローでのみ使用できます 互換性のある PLL を持つシステムで 1 個のコントローラが別のコントローラから Avalon クロッを使用できるようにオンにします このオプションはマスタ ロジックに同期する 2 つ以上のメモリ コントローラのある SOPC Builder システムを作成できます 7 5 ページの コマンド キュー を参照してください Local interface protocol HPC ユーザー ロジックとメモリ コントローラ間のローカル インタフェースを指定します Avalon-MM インタフェースを使用して 他の Avalon-MM ペリフェラルに簡単に接続することができます HPC II アーキテクチャは Avalon-MM インタフェースのみをサポートします Altera Corporation

51 4. MegaCore ファンクションにパラメータを設定した後 MegaCore ファンクションのバリエーションをデザインに組み込み シミュレーション およびコンパイルを実行することができます 以下の項では デザインをコンパイルおよびシミュレートするために実行する必要があるステップを詳しく説明しています デザインのコンパイル デザインのシミュレーション 図 4 1 にコントローラおよびユーザー ロジックを統合した後の最終的なデザインの例としてアルテラの高性能コントローラ デザインのトップレベル図を示します ALTMEMPHY DLL (1) PLL 図 4 1 の注 : (1) Instantiate DLL Externally を選択すると DLL がコントローラの外部にインスタンス化されます ALTMEMPHY バリエーションでデザインをコンパイルする前に.sdc ファイルを含むいくつかのプロジェクト設定を編集して I/O アサインメントを作成する必要があります I/O アサインメントには I/O 規格 ピン位置 および終端抵抗とドライブ強度設定などの他のアサインメントが含まれています これらのタスクのいくつかは ALTMEMPHY Generation ウインドウでリストされます 大部分のシステムでは アルテラはデバイスに対して終端抵抗および出力ピン負荷を設定する Quartus II ソフトウェアにおける Board Trace Model コマンドを使用することによって Advanced I/O Timing 機能の使用を推奨しています 1 生成された.sdc タイミング制約ファイルは ALTMEMPHY バリエーションを大規模なデザイン ( コントローラと / またはサンプル ドライバ ) の一部になる必要とするので ALTMEMPHY バリエーションはスタンドアロンのトップレベル デザインとしてコンパイルできません メモリ コントローラが可能な状態になる前 ALTMEMPHY バリエーションが要求されるターゲット周波数を満たすかどうかを確認するために ALTMEMPHY バリエーションをインスタンス化するトップレベル ファイルを作成します Quartus II ソフトウェアを使用してトップ レベル ファイルの例をコンパイルし コンパイル後のタイミング解析を実行するには 以下のステップに従います Altera Corporation

52 TimeQuest タイミング アナライザの設定 a. Assignments メニューで Timing Analysis Settings をクリックし Use TimeQuest Timing Analyzer during compilation を選択して OK をクリックします b. Synopsys Design Constraints(.sdc) ファイル <variation name>_phy_ddr_timing.sdc をプロジェクトに追加します Project メニューで Add/Remove Files in Project をクリックし ファイルを参照します c. トップレベル デザインの例 <variation name>_example_top.sdc のための.sdc ファイルをプロジェクトに追加します トップレベル デザインとして例を使用する場合にのみ このファイルが必要です 2. MegaWizard Plug-In Manager によって生成される I/O アサインメントを適用するには <variation_name>_pin_assignments.tcl または <variation_name>.ppf ファイルのいずれかを使用できます.ppf ファイルおよび Pin Planner を使用すると メモリ インタフェース ピン名にプリフィックスを追加するのに余分な柔軟性が提供されます Assignment Editor または Pin Planner のいずれかにアサインメントを編集することができます ピンの I/O 規格アサインメントを指定するには 以下の手順の 1 つを使用します SDRAM インタフェースが 1 つで トップレベル ラッパ ファイルの例に示すようにトップ レベル ピンにデフォルトの名前が付いている場合は <variation name>_pin_assignments.tcl を実行します または デザインでは一致しないピン名が含まれている場合 スクリプトを実行する前に <variation name>_pin_assignments.tcl ファイルを編集します 以下のステップに従います a. <variation name>_pin_assignments.tcl ファイルを開きます b. 使用しているフローに基づいて sopc_mode 値を Yes または No に設定します SOPC Builder システム フロー : if {![info exists sopc_mode]} {set sopc_mode YES} MegaWizard Plug-In Manager フロー : if {![info exists sopc_mode]} {set sopc_mode NO} c. 希望したプリフィックスを pin_prefix 変数に入力します 例えば プリフィックス my_mem を追加するには 以下の手順を実行します if {![info exists set_prefix}{set pin_prefix my_mem_ } プリフィックスを設定した後 以下に示されているようにピン名が拡張されます SOPC Builder システム フロー : my_mem_cs_n_from_the_<your instance name> MegaWizard Plug-In Manager フロー : my_mem_cs_n[0] Altera Corporation

53 4 3 1 トップレベル デザインはシングル ビット メモリ インタフェース信号 ( 例えば mem_dqs[0] ではなく mem_dqs) に対してシングル ビット バス表記を使用しない場合 Tcl スクリプトでの set single_bit {[0]} を set single_bit {} に変更する必要があります または あるいは デザインと一致しないピン名を変更するには 以下の方法によりピン名にプリフィックスを付加できます a. Assignments メニューで Pin Planner をクリックします b. Edit メニューの Create/Import Megafunction をクリックします c. Import an existing custom megafunction を選択し <variation name>.ppf にアクセスします d. 使用したいプリフィックスを Instance name に入力します 例えば mem_addr を core1_mem_addr に変更します 3. トップレベル デザインに トップレベル エンティティを設定します a. File メニューの Open をクリックします b. MegaWizard Plug-In Manager を使用する場合 SOPC Builder システム トップレベル デザインまたは <variation name>_example_top に移動して Open をクリックします c. Project メニューの Set as top-level entity をクリックします 4. DQ および DQS ピン位置を割り当てます a. デザインのピンにピン位置を割り当てる必要があるため Quartus II ソフトウェアはフィッティングおよびタイミング解析を正しく実行できます b. Pin Planner または Assignment Editor のいずれかを使用して クロック ソース ピンを手動で割り当てます さらに 各 DQS ピンを必要なピンに割り当て 使用する必要がある DQS ピン グループを選択します 次に Quartus II Fitter は 対応する DQ 信号を各グループ内の適切な DQ ピンに自動的に配置します 1 デザインをコンパイルするとき [no-fit] エラーを回避するために mem_clk ピンを mem_dq および mem_dqs ピンと同じエッジに配置するように確認し かつデザインにピンを割り当てる際 クロック ソースおよびリセット入力などの非メモリ インタフェースに適切な I/O 規格を設定します 例えば DDR SDRAM と DDR2 SDRAM の場合 それぞれ 2.5 V および 1.8 V を選択します また Quartus II ソフトウェアでピンを配置したいデバイスのバンクまたはサイドを選択します 5. Stratix III または Stratix IV デザイン用に 高度な I/O タイミングを使用する場合 Device & Pin Options ダイアログ ボックス内でボード トレース モデルを指定します あるいは 他のデバイスを使用し 高度な I/O タイミングを使用しない場合 すべてのメモリ インタフェース ピンに出力ピンの負荷を設定します 6. 必要な I/O ドライブ強度 ( シミュレーションから得られたもの ) を選択して 各信号または ODT 設定が正しくドライブされ オーバシュートやアンダシュートが生じないようにします Altera Corporation

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章 5? 2012? EMI_DG_005-2.0 EMI_DG_005-2.0 この章では デュアル バッファなし DIMM (UDIMM) DDR2 および DDR3 SDRAM インタフェースの実装のガイドラインについて説明します この章では デュアル DIMM 構成を次の条件で使用して データ信号のシグナル インテグリティに対する影響を説明します 1 スロット実装対 2 スロット実装 DIMM

More information

TN-46-13

TN-46-13 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Cyclone Vデバイス・ハンドブック、 Vol 1、第6章:Cyclone Vデバイスの外部メモリ・インタフェース

Cyclone Vデバイス・ハンドブック、 Vol 1、第6章:Cyclone Vデバイスの外部メモリ・インタフェース June 2012 CV-52006-2.0 CV-52006-2.0 こので章は Cyclone V デバイスの利用可能な外部メモリ インタフェースおよび外部メモリ インタフェースをサポートする このシリコン機能について説明します 以下の Cyclone V デバイスの機能は外部メモリ インタフェースで使用されています ダブル データ レート 2 (DDR2) SDRAM DDR3 SDRAM および低消費電力ダブル

More information

LEAP を使用して Cisco ワイヤレス クライアントを認証するための Funk RADIUS の設定

LEAP を使用して Cisco ワイヤレス クライアントを認証するための Funk RADIUS の設定 LEAP を使用して Cisco ワイヤレスクライアントを認証するための Funk RADIUS の設定 目次 概要前提条件要件使用するコンポーネント表記法設定アクセスポイントまたはブリッジの設定 Funk ソフトウェアの Inc. Product 設定 Steel-Belted Radius Steel-Belted Radius のユーザの作成関連情報 概要 このドキュメントでは 340 および

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

AN520: DDR3 SDRAM Memory Interface Termination and Layout Guidelines

AN520: DDR3 SDRAM Memory Interface Termination and Layout Guidelines DDR3 SDRAM インタフェースの終端およびレイアウト ガイドライン 2009 年 5 月 AN-520-1.1 はじめに このアプリケーション ノートは システムのシグナル インテグリティを向上させる方法についてのガイドライン および DDR3 SDRAM インタフェースをシステム上に正しく実装するためのレイアウト ガイドラインを示しています 高まり続けるコンピューティングのニーズに対応するために

More information

SAC (Jap).indd

SAC (Jap).indd 取扱説明書 機器を使用する前に本マニュアルを十分にお読みください また 以後も参照できるよう保管してください バージョン 1.7 目次 目次 について...3 ご使用になる前に...3 インストール手順...4 ログイン...6 マネージメントソフトウェアプログラムの初期画面... 7 プロジェクタの検索...9 グループの設定... 11 グループ情報画面... 12 グループの削除... 13

More information

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール 1 AV-52001 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt

Microsoft PowerPoint - Altera_DDR3_Oct2009_ダウンロード用.ppt 40nm FPGA が実現する最先端メモリ インタフェース 日本アルテラ株式会社プロダクト マーケティング マネージャ橋詰英治 アルテラが提供する完全なソリューション CPLD 低コスト FPGA ミッド レンジ FPGA 高集積 高性能 多機能 FPGA ASIC エンベデッド プロセッサ IP コア製品群 開発ソフトウェア 開発キット 2 最新 40nm FPGA & ASIC 製品 11.3Gbps

More information

AN 477: Designing RGMII Interface with HardCopy

AN 477: Designing RGMII Interface with HardCopy FPGA および HardCopy デバイスとの RGMII インタフェースの設計 ver. 1.0 Application Note 477 はじめに RGMII(Reduced Gigabit Media Independent Interface) は IEEE 802.3z GMII に代わるもので ピン数の削減が図られています ピン数の削減は クロックの立ち上がりと立ち下がりの両エッジでデータをやりとりし

More information

Symantec AntiVirus の設定

Symantec AntiVirus の設定 CHAPTER 29 Symantec AntiVirus エージェントを MARS でレポートデバイスとしてイネーブルにするためには Symantec System Center コンソールをレポートデバイスとして指定する必要があります Symantec System Center コンソールはモニタ対象の AV エージェントからアラートを受信し このアラートを SNMP 通知として MARS に転送します

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

Using High-Performance DDR, DDR2, DDR3 SDRAM with SOPC Builder

Using High-Performance DDR, DDR2, DDR3 SDRAM with SOPC Builder 2008 年 3 月 ver. 1.0 SOPC Builder による高性能 DDR DDR2 DDR3 SDRAM の使用 Application Note 517 はじめに Altera の DDR DDR2 および DDR3 SDRAM 高性能コントローラ MegaCore ファンクションのバージョン 7.1 以降では SOPC Builder をサポートし SOPC Builder システムで

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

ファイル メニューのコマンド

ファイル メニューのコマンド CHAPTER43 次のオプションは Cisco Configuration Professional(Cisco CP) の [ ファイル ] メニューから利用できます 実行コンフィギュレーションを PC に保存 ルータの実行コンフィギュレーションファイルを PC 上のテキストファイルに保存します 43-1 設定をルータに配信する 第 43 章 設定をルータに配信する このウィンドウでは Cisco

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

Oracle Enterprise Managerシステム監視プラグイン・インストレーション・ガイドfor Juniper Networks NetScreen Firewall, 10gリリース2(10.2)

Oracle Enterprise Managerシステム監視プラグイン・インストレーション・ガイドfor Juniper Networks NetScreen Firewall, 10gリリース2(10.2) Oracle Enterprise Manager システム監視プラグイン インストレーション ガイド for Juniper Networks NetScreen Firewall 10g リリース 2(10.2) 部品番号 : B28468-01 原典情報 : B28041-01 Oracle Enterprise Manager System Monitoring Plug-in Installation

More information

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc Nios II C2H コンパイラに関する Q&A 全般 Q:Nios II C-to-Hardware アクセラレーション コンパイラコンパイラとはとは何ですか A:Altera Nios II C-to- Hardware アクセラレーション コンパイラ ( 以下 Nios II C2H コンパイラ ) とは Nios II ユーザ向けの生産性を高めるツールです 性能のボトルネックとなるC 言語プログラムのサブルーチンを自動的にハードウェア

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54025-1.2 cv_54025-1.2 ハードウェア プロセッサ システム (HPS) は Cortex -A9 マイクロプロセッサ ユニット (MPU) サブシステム ホスト プロセッサ および CAN プロトコルで使用するダイレクト メモリ アクセス (DMA) コントローラでのシリアル通信用に 2 つのコントローラ エリア ネットワーク (CAN) コントローラを提供しています

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

障害およびログの表示

障害およびログの表示 この章の内容は 次のとおりです 障害サマリー, 1 ページ 障害履歴, 4 ページ Cisco IMC ログ, 7 ページ システム イベント ログ, 9 ページ ロギング制御, 12 ページ 障害サマリー 障害サマリーの表示 手順 ステップ 1 [ナビゲーション Navigation ] ペインの [シャーシ Chassis ] メニューをクリックします ステップ 2 [シャーシ Chassis

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

プリンタドライバのインストール. Windows で使用する場合 Windows プリンタドライバのインストール方法は 接続方法や使用するプリンタドライバによって異なります また コンピュータの OS によってインストール方法が異なります お使いのコンピュータの OS に合わせて 以下の参照ページを

プリンタドライバのインストール. Windows で使用する場合 Windows プリンタドライバのインストール方法は 接続方法や使用するプリンタドライバによって異なります また コンピュータの OS によってインストール方法が異なります お使いのコンピュータの OS に合わせて 以下の参照ページを プリンタドライバのインストール.1 プリンタドライバの種類と対応 OS 本プリンティングシステムを使用するためには プリンタドライバのインストールが必要です プリンタドライバとは 出力するデータの処理などを制御するプログラムで 使用する前に付属の CD からコンピュータにインストールします 付属の CD に含まれるプリンタドライバと 対応しているコンピュータの OS は以下のとおりです 必要なプリンタドライバをインストールしてください

More information

USB-Blasterダウンロード・ケーブル・ユーザガイド

USB-Blasterダウンロード・ケーブル・ユーザガイド USB-Blaster 101 Innovation Drive San Jose, CA 95134 www.altera.com 2.3 2007 5 UG-USB81204-2.3 P25-10325-03 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

Oracle ESB - レッスン02: CustomerDataバッチCSVファイル・アダプタ

Oracle ESB - レッスン02: CustomerDataバッチCSVファイル・アダプタ Oracle ESB レッスン 02: CustomerData バッチ CSV ファイル アダプタ Oracle 統合製品管理 Page 1 シナリオの概要 機能 複数レコードを含む CSV ファイルを 1 レコードずつ処理する CustomerData にインバウンド ファイル アダプタを追加する 顧客データと同期する CSV ファイル Features - JDeveloper ESB ダイアグラマ

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

Intuit QuickBooks との統合

Intuit QuickBooks との統合 この章は 次の項で構成されています QuickBooks で TimeCardView の自動ログイン設定 (P.10) QuickBooks サーバへの TCVQBConnector のインストール (P.10) QuickBooks の TimeCardView に対するアクセス許可の設定 (P.11) QuickBooks の TimeCardView に対するアクセス許可の確認 (P.11)

More information

IBM Proventia Management/ISS SiteProtector 2.0

IBM Proventia Management/ISS  SiteProtector 2.0 CHAPTER 10 IBM Proventia Management/ISS SiteProtector 2.0 この章は 次の内容で構成されています グローバルイベントポリシーを定義する IBM Proventia Management/ISS SiteProtector (P.10-1) (P.10-5) グローバルイベントポリシーを定義する IBM Proventia Management/ISS

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル ALTIMA Corp. ver.14 2014 年 8 月 Rev.1 ELSENA,Inc. 目次 1. はじめに...3 1-1. フロー概要... 3 2. ハードウェア...4 2-1. 2-2. 2-3. 2-4. 2-5. ハードウェア プロジェクトの作成 ( Quartus II )... 4 コンフィギュレーション モードの設定... 5 Qsys にてシステムを構成し HDL を生成...

More information

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act No. ESC-APN-006-05 文書番号 : ESC-APN-006-05 Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では ActiveState Software Inc.( 以下 ActiveState 社 ) のフリーソフトウェアである Active Tcl と microview-plus

More information

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書 Technical white paper Windows Embedded Standard シンクライアント VMware Horizon Client アップデート手順 目次 はじめに 2 対応する機種と OS イメージ 2 VMware Horizon Client アドオンのダウンロードと展開 3 VMware Horizon Client アドオンのインストール ( 手動インストール )

More information

Maser - User Operation Manual

Maser - User Operation Manual Maser 3 Cell Innovation User Operation Manual 2013.4.1 1 目次 1. はじめに... 3 1.1. 推奨動作環境... 3 2. データの登録... 4 2.1. プロジェクトの作成... 4 2.2. Projectへのデータのアップロード... 8 2.2.1. HTTPSでのアップロード... 8 2.2.2. SFTPでのアップロード...

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

電話機のファイル形式

電話機のファイル形式 この章では テキスト エディタを使用して作成する CSV データ ファイルのファイル形式を設定 する方法について説明します 電話機 CSV データ ファイルを作成するためのテキスト エディタ, 1 ページ の検索, 2 ページ CSV データ ファイルの電話機ファイル形式の設定, 3 ページ テキストベースのファイル形式と CSV データ ファイルの関連付け, 7 ページ 電話機 CSV データ ファイルを作成するためのテキスト

More information

マルチ VRFCE PE-CE リンクのプロビジョ ニング

マルチ VRFCE PE-CE リンクのプロビジョ ニング CHAPTER 26 この章では Prime Fulfillment のプロビジョニングプロセスで MPLS VPN マルチ VRFCE PE-CE リンクを構成する方法を説明します MPLS VPN MVRFCE PE-CE リンクの概要 この項の内容は 次のとおりです ネットワークトポロジ (P.26-2) 前提タスク (P.26-2) Prime Fulfillment で MPLS VPN

More information

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法 ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3.

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに...3 2. プロジェクトとは...3 3. Quartus II 開発ソフトウェアの起動...4 4. 新規プロジェクトの作成...7 5. 既存プロジェクトの起動と終了...15

More information

DDR2とDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第4章

DDR2とDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第4章 6? 2012? EMI_DG_004-4.1 EMI_DG_004-4.1 この章では システムのシグナル インテグリティを向上させる方法についてのガイドライン および DDR2 または DDR3 SDRAM インタフェースをシステム上に正しく実装するためのレイアウト ガイドラインを示します DDR3 SDRAM は 既存の DDR2 SDRAM 規格と部分的に下位互換性を維持しながら 複数の On-Die

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

Welcome-Kit ~STM32L4-Nucleo~

Welcome-Kit ~STM32L4-Nucleo~ STM32CubeMX の使い方 0 STM32CubeMX ダウンロード 1 1 ST マイクロ社 HP より STM32CubeMX インストーラーをダウンロードし インストーラーの表示に沿ってインストールします URL : http://www.st.com/content/st_com/ja/products/development-tools/software-development-tools/stm32-

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

AWS Client VPN - ユーザーガイド

AWS Client VPN - ユーザーガイド AWS Client VPN ユーザーガイド AWS Client VPN: ユーザーガイド Copyright 2019 Amazon Web Services, Inc. and/or its affiliates. All rights reserved. Amazon's trademarks and trade dress may not be used in connection with

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) ver.1 2015 年 4 月 Rev.1 ELSENA,Inc. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) 目次 1. はじめに...3

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

A 既製のプロジェクトがある場合

A 既製のプロジェクトがある場合 2008 年 7 月 15 日 ワゴジャパン株式会社 1 使用機器 -Siemens S7-300:CPU315F-2 PN/DP プロセッサ /PROFINET スキャナ -Siemens SIMATIC Manager STEP 7 ソフトウェア バージョン V5.4-750-333 GSD ファイル :B754_V30.GSD(FW Ver.7 以降 ) -WAGO I/O ノード構成ノード

More information

McAfee SaaS Protection 統合ガイド Microsoft Office 365 と Exchange Online の保護

McAfee SaaS  Protection 統合ガイド Microsoft Office 365 と Exchange Online の保護 統合ガイド改訂 G McAfee SaaS Email Protection Microsoft Office 365 と Exchange Online の保護 Microsoft Office 365 の設定 このガイドの説明に従って McAfee SaaS Email Protection を使用するように Microsoft Office 365 と Microsoft Exchange Online

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

MIB サポートの設定

MIB サポートの設定 CHAPTER 2 この章では Cisco 10000 シリーズに SNMP および MIB のサポートを設定する手順について説明します 具体的な内容は次のとおりです Cisco IOS リリースに対応する MIB サポートの判別 (p.2-1) MIB のダウンロードおよびコンパイル (p.2-2) シスコの SNMP サポート (p.2-4) Cisco IOS リリースに対応する MIB サポートの判別

More information

Quartus II はじめてガイド - ピン・アサインの方法

Quartus II はじめてガイド - ピン・アサインの方法 ALTIMA Corp. Quartus II はじめてガイドピン アサインの方法 rev.1 ver.10 2011 年 3 月 ELSENA,Inc. Quartus II はじめてガイド ピン アサインの方法 rev.1 目次 1. はじめに... 3 2. 事前作業... 3 2-1. デバイスの選択... 3 2-2. データベースの構築... 4 3. ユーザ I/O ピンのアサイン方法...

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

WES7シンクライアントIE11アップデート手順書

WES7シンクライアントIE11アップデート手順書 Technical white paper Windows Embedded Standard 7 シンクライアント IE11 アップデート手順書 Contents はじめに 2 対応する機種と OS イメージ 2 IE11 アドオンのダウンロードと展開 2 IE11 アドオンのインストール ( 手動インストール ) 5 HP Device Manager を使用した IE11 アドオンのインストール

More information

ドライバインストールガイド

ドライバインストールガイド PRIMERGY Single Port ファイバーチャネルカード (8Gbps) Dual Port ファイバーチャネルカード (8Gbps) (PG-FC205/PG-FC205L) (PG-FC206/PG-FC206L) CA092276-8938-01 ドライバインストールガイド i 目次 1. ドライバのインストール / アンインストール方法... 3 1.1. ドライバのダウンロード

More information

メモリIPのタイミングの解析、外部メモリ・インタフェース・ハンドブック、olume 2、第10章

メモリIPのタイミングの解析、外部メモリ・インタフェース・ハンドブック、olume 2、第10章 6? 2012? EMI_DG_010-4.1 EMI_DG_010-4.1 f 外 部 メモリ インタフェースは 今 日 の 高 速 メモリ デバイスの 様 々なタイミング 要 件 を 満 たすことを 確 保 することが 困 難 な 場 合 があります アルテラはシステム タイミングのマージンを 最 大 化 するためのソース シンクロナスと 自 己 キャリブ レーション 回 路 の 組 み 合 わせを

More information

使用する前に

使用する前に この章では Cisco Secure ACS リリース 5.5 以降から Cisco ISE リリース 2.4 システムへのデー タ移行に使用される Cisco Secure ACS to Cisco ISE Migration Tool について説明します 移行の概要 1 ページ Cisco Secure ACS から データ移行 1 ページ Cisco Secure ACS to Cisco ISE

More information

Veritas System Recovery 18 System Recovery Disk

Veritas System Recovery 18 System Recovery Disk Veritas System Recovery 18 System Recovery Disk 免責事項 ベリタステクノロジーズ合同会社は この 書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく われることもあります なお 当ドキュメントの内容は参考資料として 読者の責任において管理 / 配布されるようお願いいたします

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

C1Live

C1Live C1Live 2014.01.30 更新 グレープシティ株式会社 Copyright GrapeCity, Inc. All rights reserved. C1Live 目次 i 目次 ComponentOne Studio Live 更新ユーティリティの概要 1 Studio Live について 2 Studio Live 製品グリッド... 3 Studio Live メニュー... 4 Studio

More information

Rational Roseモデルの移行 マニュアル

Rational Roseモデルの移行 マニュアル Model conversion from Rational Rose by SparxSystems Japan Rational Rose モデルの移行マニュアル (2012/1/12 最終更新 ) 1. はじめに このガイドでは 既に Rational( 現 IBM) Rose ( 以下 Rose と表記します ) で作成された UML モデルを Enterprise Architect で利用するための作業ガイドです

More information