Nios II ソフトウェア開発ハンドブック Version 1.2 セクション IV. 付録 

Size: px
Start display at page:

Download "Nios II ソフトウェア開発ハンドブック Version 1.2 セクション IV. 付録 "

Transcription

1 IV. 10 HAL API zip Nios II Nios II / v v v v v v1.0 DMA open() alt_dma_txchan_open() ERRNO ALT_DMA_TX_STREAM_ON ALT_DMA_RX_STREAM_ON alt_dma_rxchan_ioctl() alt_dma_txchan_ioctl() Nios II Altera Corporation IV 1

2 Nios II IV 2 Altera Corporation

3 10. HAL API NII HALHardware Abstraction LayerAPI C ISR HAL HAL Newlib API Newlib printf() I/O Newlib API Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Preliminary

4 _exit() ISR void _exit (int exit_code) Newlib C <unistd.h> Newlib exit() _exit() main() HAL 1 HAL ISR exit_code Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation 10 2 Altera Corporation Nios II

5 HAL API _rename() ISR int _rename(char *existing, char* new) Newlib C <stdio.h> _rename() Newlib 1 errno ENOSYS Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

6 alt_alarm_start() ISR int alt_alarm_start (alt_alarm* alarm, alt_u32 nticks, alt_u32 (*callback) (void* context), void* context) C/C++ <sys/alt_alarm.h> alt_alarm_start() 4 11 ntick callback context callback alarm alarm alt_alarm_start() alt_alarm_start() alt_alarm_stop() alt_nticks() alt_sysclk_init() alt_tick() alt_ticks_per_second() gettimeofday() settimeofday() times() usleep() 10 4 Altera Corporation Nios II

7 HAL API alt_alarm_stop() ISR void alt_alarm_stop (alt_alarm* alarm) C/C++ <sys/alt_alarm.h> alt_alarm_stop() alt_alarm_start() alt_alarm_start() alt_alarm_start() alt_nticks() alt_sysclk_init() alt_tick() alt_ticks_per_second() gettimeofday() settimeofday() times() usleep() Altera Corporation Nios II

8 alt_dcache_flush() ISR void alt_dcache_flush (void* start, alt_u32 len) C/C++ <sys/alt_cache.h> alt_dcache_flush() start len alt_dcache_flush_all() alt_icache_flush() alt_icache_flush_all()# alt_remap_cached() alt_remap_uncached() alt_uncached_free() alt_uncached_malloc() 10 6 Altera Corporation Nios II

9 HAL API alt_dcache_flush_all() ISR void alt_dcache_flush_all (void) C/C++ <sys/alt_cache.h> alt_dcache_flush_all() alt_dcache_flush() alt_icache_flush() alt_icache_flush_all()# alt_remap_cached() alt_remap_uncached() alt_uncached_free() alt_uncached_malloc() Altera Corporation Nios II

10 alt_dev_reg() ISR int alt_dev_reg(alt_dev* dev) <sys/alt_dev.h> alt_dev_reg() I/O 4 1 HAL alt_dev_reg() alt_dev_reg() alt_dev_reg() alt_sys_init() C alt_fs_reg() 10 8 Altera Corporation Nios II

11 HAL API alt_dma_rxchan_close() ISR int alt_dma_rxchan_close (alt_dma_rxchan rxchan) C/C++ <sys/alt_dma.h> alt_dma_rxchan_close() DMA rxchan alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_send() alt_dma_txchan_space() Altera Corporation Nios II

12 alt_dma_rxchan_depth() ISR alt_u32 alt_dma_rxchan_depth(alt_dma_rxchan dma) C/C++ <sys/alt_dma.h> alt_dma_rxchan_depth()dmadma ISR alt_dma_rxchan_close() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_send() alt_dma_txchan_space() Altera Corporation Nios II

13 HAL API alt_dma_rxchan_ioctl() ISR int alt_dma_rxchan_ioctl (alt_dma_rxchan dma, int req, void* arg) C/C++ <sys/alt_dma.h> alt_dma_rxchan_ioctl() DMA dma I/O req arg arg 10 1 <sys/alt_dma.h> alt_dma_rxchan_iotcl() ISR DMA alt_dma_rxchan_ioctl() alt_dma_rxchan_close() alt_dma_rxchan_depth() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_send() alt_dma_txchan_space() Altera Corporation Nios II

14 10 1. ALT_DMA_SET_MODE_8 ALT_DMA_SET_MODE_16 ALT_DMA_SET_MODE_32 ALT_DMA_SET_MODE_64 ALT_DMA_SET_MODE_128 ALT_DMA_GET_MODE ALT_DMA_TX_ONLY_ON (1) ALT_DMA_TX_ONLY_OFF (1) ALT_DMA_RX_ONLY_ON (1) 8 arg 16 arg 32 arg 64 arg 128 arg arg ALT_DMA_TX_ONLY_ON DMA 1 DMA ALT_DMA_RX_ONLY_ON DMA 1 ALT_DMA_RX_ONLY_OFF (1) DMA 10 1 (1) Nios II 1.1 ALT_DMA_TX_STREAM_ONALT_DMA_TX_STREAM_OFFALT_DMA_RX_STREAM_ON ALT_DMA_RX_STREAM_OFF Altera Corporation Nios II

15 HAL API alt_dma_rxchan_open() ISR alt_dma_rxchan alt_dma_rxchan_open (const char* name) C/C++ <sys/alt_dma.h> alt_dma_rxchan_open() DMA alt_dma_rxchan name /dev/dma_0 null null errno ENODEV alt_dma_rxchan_close() alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_send() alt_dma_txchan_space() Altera Corporation Nios II

16 alt_dma_rxchan_prepare() int alt_dma_rxchan_prepare (alt_dma_rxchan dma, void* data, alt_u32 length, alt_rxchan_done* done, void* handle) ISR C/C++ <sys/alt_dma.h> alt_dma_rxchan_prepare() DMA dma data length done handle done ISR alt_dma_rxchan_close() alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_send() alt_dma_txchan_space() Altera Corporation Nios II

17 HAL API alt_dma_rxchan_reg() ISR int alt_dma_rxchan_reg (alt_dma_rxchan_dev* dev) C/C++ <sys/alt_dma_dev.h> alt_dma_rxchan_reg() DMA 4 23 DMA alt_dma_rxchan_reg() alt_dma_rxchan_reg() alt_dma_rxchan_reg() alt_sys_init() C alt_dma_rxchan_close() alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_send() alt_dma_txchan_space() Altera Corporation Nios II

18 alt_dma_txchan_close() ISR int alt_dma_txchan_close (alt_dma_txchan txchan) C/C++ <sys/alt_dma.h> alt_dma_txchan_close() DMA txchan alt_dma_rxchan_close() alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_send() alt_dma_txchan_space() Altera Corporation Nios II

19 HAL API alt_dma_txchan_ioctl() ISR int alt_dma_txchan_ioctl (alt_dma_txchan dma, int req, void* arg) C/C++ <sys/alt_dma.h> alt_dma_txchan_ioctl() DMA dma I/O req arg arg 10 1 alt_dma_txchan_iotcl() ISR DMA alt_dma_rxchan_ioctl() alt_dma_rxchan_close() alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_send() alt_dma_txchan_space() Altera Corporation Nios II

20 alt_dma_txchan_open() ISR alt_dma_txchan alt_dma_txchan_open (const char* name) C/C++ <sys/alt_dma.h> alt_dma_txchan_open()dmaalt_dma_txchan name /dev/dma_0 null null errno ENODEV alt_dma_rxchan_close() alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_reg() alt_dma_txchan_send() alt_dma_txchan_space() Altera Corporation Nios II

21 HAL API alt_dma_txchan_reg() ISR int alt_dma_txchan_reg (alt_dma_txchan_dev* dev) C/C++ <sys/alt_dma_dev.h> alt_dma_txchan_reg() DMA 4 21 DMA alt_dma_txchan_reg() alt_dma_txchan_reg() alt_dma_txchan_reg() alt_sys_init() C alt_dma_rxchan_close() alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_send() alt_dma_txchan_space() Altera Corporation Nios II

22 alt_dma_txchan_send() int alt_dma_txchan_send (alt_dma_txchan dma, const void* from, alt_u32 length, alt_txchan_done* done, void* handle) ISR C/C++ <sys/alt_dma.h> alt_dma_txchan_send() DMA dma from length done handle done ISR alt_dma_rxchan_close() alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_space() Altera Corporation Nios II

23 HAL API alt_dma_txchan_space() ISR int alt_dma_txchan_space (alt_dma_txchan dma) C/C++ <sys/alt_dma.h> alt_dma_txchan_space() DMA dma ISR alt_dma_rxchan_close() alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_send() Altera Corporation Nios II

24 alt_erase_flash_block() ISR int alt_erase_flash_block(alt_flash_fd* fd, int offset, int length) C/C++ <sys/alt_flash.h> alt_erase_flash_block() fd offset length 4 18 alt_erase_flash_block() fd alt_flash_open_dev alt_flash_close_dev() alt_flash_open_dev() alt_get_flash_info() alt_read_flash() alt_write_flash() alt_write_flash_block() Altera Corporation Nios II

25 HAL API alt_flash_close_dev() ISR void alt_flash_close_dev(alt_flash_fd* fd) C/C++ <sys/alt_flash.h> alt_flash_close_dev() alt_write_flash() alt_read_flash()a lt_get_flash_info() alt_erase_flash_block() alt_write_flash_block() alt_flash_close_dev() fd alt_flash_open_dev alt_erase_flash_block() alt_flash_open_dev() alt_get_flash_info() alt_read_flash() alt_write_flash() alt_write_flash_block() Altera Corporation Nios II

26 alt_flash_open_dev() alt_flash_fd* alt_flash_open_dev(const char* name) C/C++ ISR <sys/alt_flash.h> alt_flash_open_dev() alt_write_flash() alt_read_flash() alt_get_flash_info() alt_erase_flash_block() alt_write_flash_block() alt_flash_open_dev() alt_erase_flash_block() alt_flash_close_dev() alt_get_flash_info() alt_read_flash() alt_write_flash() alt_write_flash_block() Altera Corporation Nios II

27 HAL API alt_fs_reg() ISR int alt_fs_reg (alt_dev* dev) <sys/alt_dev.h> alt_fs_reg() HAL I/O 4 1 HAL alt_fs_reg() alt_fs_reg() alt_fs_reg() alt_sys_init() C alt_dev_reg() Altera Corporation Nios II

28 alt_get_flash_info() ISR int alt_get_flash_info(alt_flash_fd* fd, flash_region** info, int* number_of_regions) C/C++ <sys/alt_flash.h> alt_get_flash_info() fd flash_region info number_of_regions fd alt_flash_open_dev alt_erase_flash_block() alt_flash_close_dev() alt_flash_open_dev() alt_read_flash() alt_write_flash() alt_write_flash_block() Altera Corporation Nios II

29 HAL API alt_icache_flush() ISR void alt_icache_flush (void* start, alt_u32 len) C/C++ <sys/alt_cache.h> alt_icache_flush() start len alt_dcache_flush() alt_dcache_flush_all() alt_icache_flush_all()# alt_remap_cached() alt_remap_uncached() alt_uncached_free() alt_uncached_malloc() Altera Corporation Nios II

30 alt_icache_flush_all() ISR void alt_icache_flush_all (void) C/C++ <sys/alt_cache.h> alt_icache_flush_all() alt_dcache_flush() alt_dcache_flush_all() alt_icache_flush()# alt_remap_cached() alt_remap_uncached() alt_uncached_free() alt_uncached_malloc() Altera Corporation Nios II

31 HAL API alt_irq_disable_all() ISR alt_irq_context alt_irq_disable_all (void) C/C++ <sys/alt_irq.h> alt_irq_disable_all() alt_irq_enable_all() alt_irq_enable_all() alt_irq_enabled() alt_irq_register() Altera Corporation Nios II

32 alt_irq_enable_all() void alt_irq_enable_all (alt_irq_context context) C/C++ ISR <sys/alt_irq.h> alt_irq_enable_all() context alt_irq_disable_all() alt_irq_disable_all() alt_irq_disable_all() alt_irq_enable_all() alt_irq_disable_all() alt_irq_enabled() alt_irq_register() Altera Corporation Nios II

33 HAL API alt_irq_enabled() ISR int alt_irq_enabled (void) <sys/alt_irq.h> alt_irq_enabled() alt_irq_disable_all() alt_irq_enable_all() alt_irq_register() Altera Corporation Nios II

34 alt_irq_register() ISR int alt_irq_register (alt_u32 id, void* context, void (*isr)(void*, alt_u32)) <sys/alt_irq.h> alt_irq_register() ISR id isr context id isr alt_irq_register() id irq_handler null alt_irq_register() alt_irq_disable_all() alt_irq_enable_all() alt_irq_enabled() Altera Corporation Nios II

35 HAL API alt_llist_insert() void alt_llist_insert(alt_llist* list, alt_llist* entry) C/C++ ISR <sys/alt_llist.h> alt_llist_insert() entry list ISR MicroC/OS- II mutex alt_llist_remove() Altera Corporation Nios II

36 alt_llist_remove() void alt_llist_remove(alt_llist* entry) C/C++ ISR <sys/alt_llist.h> alt_llist_remove() entry ISR MicroC/OS-II mutex alt_llist_insert() Altera Corporation Nios II

37 HAL API alt_nticks() ISR alt_u32 alt_nticks (void) C/C++ <sys/alt_alarm.h> alt_niticks() alt_alarm_start() alt_alarm_stop() alt_sysclk_init() alt_tick() alt_ticks_per_second() gettimeofday() settimeofday() times() usleep() Altera Corporation Nios II

38 alt_read_flash() ISR int alt_read_flash(alt_flash_fd* fd, int offset, void* dest_addr, int length) C/C++ <sys/alt_flash.h> alt_read_flash() fd length offset dest_addr fd alt_flash_open_dev alt_erase_flash_block() alt_flash_close_dev() alt_flash_open_dev() alt_get_flash_info() alt_write_flash() alt_write_flash_block() Altera Corporation Nios II

39 HAL API alt_remap_cached() ISR void* alt_remap_cached (volatile void* ptr, alt_u32 len); C/C++ <sys/alt_cache.h> alt_remap_cached() ptr len alt_dcache_flush() alt_dcache_flush_all() alt_icache_flush() alt_icache_flush_all()# alt_remap_uncached() alt_uncached_free() alt_uncached_malloc() Altera Corporation Nios II

40 alt_remap_uncached() volatile void* alt_remap_uncached (void* ptr, alt_u32 len); ISR C/C++ <sys/alt_cache.h> alt_remap_uncached() ptr len alt_dcache_flush() alt_dcache_flush_all() alt_icache_flush() alt_icache_flush_all()# alt_remap_cached() alt_uncached_free() alt_uncached_malloc() Altera Corporation Nios II

41 HAL API alt_sysclk_init() ISR int alt_sysclk_init (alt_u32 nticks) <sys/alt_alarm.h> alt_sysclk_init() 1 alt_sys_init() alt_alarm_start() alt_alarm_stop() alt_nticks() alt_tick() alt_ticks_per_second() gettimeofday() settimeofday() times() usleep() Altera Corporation Nios II

42 alt_tick() ISR void alt_tick (void) <sys/alt_alarm.h> alt_tick() alt_sysclk_init() ISR alt_alarm_start() alt_alarm_stop() alt_nticks() alt_sysclk_init() alt_ticks_per_second() gettimeofday() settimeofday() times() usleep() Altera Corporation Nios II

43 HAL API alt_ticks_per_second() ISR alt_u32 alt_ticks_per_second (void) C/C++ <sys/alt_alarm.h> alt_ticks_per_second() 1 1 alt_alarm_start() alt_alarm_stop() alt_nticks() alt_sysclk_init() alt_tick() gettimeofday() settimeofday() times() usleep() Altera Corporation Nios II

44 alt_timestamp() ISR alt_u32 alt_timestamp (void) C/C++ <sys/alt_timestamp.h> alt_timestamp() 4 12 alt_timestamp_start() alt_timestamp() alt_timestamp() alt_timestamp_freq() alt_timestamp_start() Altera Corporation Nios II

45 HAL API alt_timestamp_freq() ISR alt_u32 alt_timestamp_freq (void) C/C++ <sys/alt_timestamp.h> alt_timestamp_freq() alt_timestamp() alt_timestamp_start() Altera Corporation Nios II

46 alt_timestamp_start() ISR int alt_timestamp_start (void) C/C++ <sys/alt_timestamp.h> alt_timestamp_start() 4 12 alt_timestamp() alt_timestamp_freq() Altera Corporation Nios II

47 HAL API alt_uncached_free() void alt_uncached_free (volatile void* ptr) C/C++ ISR <sys/alt_cache.h> alt_uncached_free() ptr alt_uncached_malloc() ptr alt_uncached_malloc() alt_dcache_flush() alt_dcache_flush_all() alt_icache_flush() alt_icache_flush_all()# alt_remap_cached() alt_remap_uncached() alt_uncached_malloc() Altera Corporation Nios II

48 alt_uncached_malloc() ISR volatile void* alt_uncached_malloc (size_t size) C/C++ <sys/alt_cache.h> alt_uncached_malloc() size alt_uncached_free() null alt_dcache_flush() alt_dcache_flush_all() alt_icache_flush() alt_icache_flush_all()# alt_remap_cached() alt_remap_uncached() alt_uncached_free() Altera Corporation Nios II

49 HAL API alt_write_flash() ISR int alt_write_flash(alt_flash_fd* fd, int offset, const void* src_addr, int length) C/C++ <sys/alt_flash.h> alt_write_flash() src_addr length fd offset 4 15 fd alt_flash_open_dev alt_erase_flash_block() alt_flash_close_dev() alt_flash_open_dev() alt_get_flash_info() alt_read_flash() alt_write_flash_block() Altera Corporation Nios II

50 alt_write_flash_block() ISR int alt_write_flash_block(alt_flash_fd* fd, int block_offset, int data_offset, const void *data, int length) C/C++ <sys/alt_flash.h> alt_write_flash_block() 1 fd block_offset data_offset data length 4 18 fd alt_flash_open_dev alt_erase_flash_block() alt_flash_close_dev() alt_flash_open_dev() alt_get_flash_info() alt_read_flash() alt_write_flash() Altera Corporation Nios II

51 HAL API close() ISR int close (int filedes) C/C++ Newlib C <unistd.h> close() filedes UNIX close() close() close() fd STDOUT_FILENOSTDIN_FILENO STDERR_FILENO open() STDOUT_FILENOSTDIN_FILENO STDERR_FILENO <unistd.h> 1 errno Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

52 execve() int execve(const char *path, char *const argv[], char *const envp[]) ISR Newlib C <unistd.h> execve()newlib execve() 1 errno ENOSYS Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

53 HAL API fork() ISR pid_t fork (void) Newlib C <unistd.h> fork() Newlib fork() 1 errno ENOSYS Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

54 fstat() int fstat (int filedes, struct stat *st) C/C++ Newlib C ISR <sys/stat.h> fstat() st sys/stat.h fstat() fstat() fstat() fd STDOUT_FILENOSTDIN_FILENO STDERR_FILENO open() STDOUT_FILENOSTDIN_FILENO STDERR_FILENO <unistd.h> 1 errno close() ioctl() isatty() lseek() open() read() stat() write() Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

55 HAL API getpid() ISR pid_t getpid (void) Newlib C <unistd.h> getpid() Newlib id HAL getpid() id Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

56 gettimeofday() ISR int gettimeofday(struct timeval *ptimeval, struct timezone *ptimezone) C/C++ Newlib C <sys/time.h> gettimeofday() settimeofday() settimeofday() gettimeofday() gettimeofday() 1 errno alt_alarm_start() alt_alarm_stop() alt_nticks() alt_sysclk_init() alt_tick() alt_ticks_per_second() settimeofday() times() usleep() Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

57 HAL API ioctl() ISR int ioctl (int file, int req, void* arg) C/C++ <sys/ioctl.h> ioctl() I/O UNIX ioctl() file req arg ioctl() alt_dev TIOCEXCL TIOCNXCL ioctl() ioctl() fd STDOUT_FILENOSTDIN_FILENO STDERR_FILENO open() STDOUT_FILENOSTDIN_FILENO STDERR_FILENO <unistd.h> errno close() fstat() isatty() lseek() open() read() stat() write() Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

58 isatty() ISR int isatty(int file) C/C++ Newlib C <unistd.h> isatty() file fstat() fstat() isatty() 1 errno close() fstat() ioctl() lseek() open() read() stat() write() Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

59 HAL API kill() ISR int kill(int pid, int sig) Newlib C <signal.h> kill() Newlib pid idsig HAL 1 pid getpid() id pid SIGABRTSIGALRMSIGFPESIGILLSIGKILLSIGPIPE SIGQUITSIGSEGVSIGTERMSIGUSR1SIGUSR2SIGBUSSIGPOLL SIGPROFSIGSYSSIGTRAPSIGVTALRMSIGXCPU SIGXFSZ exit() SIGCHLD SIGURG 1 errno Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

60 link() ISR int link(const char *_path1, const char *_path2) Newlib C <unistd.h> link() Newlib link() 1 errno ENOSYS Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

61 HAL API lseek() ISR off_t lseek(int file, off_t ptr, int whence) C/C++ Newlib C <unistd.h> lseek() file / lseek() lseek() lseek() UNIX lseek() whence whence SEEK_SET ptr SEEK_CUR ptr SEEK_END ptr lseek() lseek() fd STDOUT_FILENOSTDIN_FILENO STDERR_FILENO open() STDOUT_FILENOSTDIN_FILENO STDERR_FILENO <unistd.h> 1 errno close() fstat() ioctl() isatty() open() read() stat() write() Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

62 open() ISR int open (const char* pathname, int flags, mode_t mode) C/C++ <unistd.h> open() flag / O_RDONLYO_WRONLYO_RDWR OR O_NONBLOCK open() / mode open() open() -1 errno close() fstat() ioctl() isatty() lseek() read() stat() write() Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

63 HAL API read() ISR int read(int file, void *ptr, size_t len) C/C++ Newlib C <unistd.h> read() file ptr len read() read() fd STDOUT_FILENOSTDIN_FILENO STDERR_FILENO open() STDOUT_FILENOSTDIN_FILENO STDERR_FILENO <unistd.h> 1 errno close() fstat() ioctl() isatty() lseek() open() stat() write() Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

64 sbrk() caddr_t sbrk(int incr) Newlib C ISR <unistd.h> sbrk() incr sbrk() malloc() Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

65 HAL API settimeofday() ISR int settimeofday (const struct timeval *t, const struct timezone *tz) C/C++ <sys/time.h> settimeofday() gettimeofday() gettimeofday() 1 alt_alarm_start() alt_alarm_stop() alt_nticks() alt_sysclk_init() alt_tick() alt_ticks_per_second() gettimeofday() times() usleep() Altera Corporation Nios II

66 stat() ISR int stat(const char *file_name, struct stat *buf); C/C++ Newlib C <sys/stat.h> stat() fstat() fstat() stat() stat() stat() stat() fstat() fstat() close() fstat() ioctl() isatty() lseek() open() read() write() Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

67 HAL API times() ISR clock_t times (struct tms *buf) C/C++ Newlib C <sys/times.h> times() Newlib buf tms typedef struct { clock_t tms_utime; clock_t tms_stime; clock_t tms_cutime; clock_t tms_cstime; }; tms_utime: CPU tms_stime: CPU tms_cutime: tms_utime tms_cutime tms_cstime: tms_stimes tms_ctimes HAL alt_alarm_start() alt_alarm_stop() alt_nticks() alt_sysclk_init() alt_tick() alt_ticks_per_second() gettimeofday() settimeofday() usleep() Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

68 unlink() ISR int unlink(char *name) Newlib C <unistd.h> unlink()newlib unlink() 1 errno ENOSYS Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

69 HAL API usleep() ISR int usleep (int us) C/C++ <unistd.h> usleep() us usleep() 1 errno alt_alarm_start() alt_alarm_stop() alt_nticks() alt_sysclk_init() alt_tick() alt_ticks_per_second() gettimeofday() settimeofday() times() Altera Corporation Nios II

70 wait() ISR int wait(int *status) Newlib C <sys/wait.h> Newlib wait() HAL status 1 errno ECHILD Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

71 HAL API write() ISR int write(int file, const void *ptr, size_t len) C/C++ Newlib C <unistd.h> write() file ptr len write() write() fd STDOUT_FILENOSTDIN_FILENO STDERR_FILENO open() STDOUT_FILENOSTDIN_FILENO STDERR_FILENO <unistd.h> 1 errno close() fstat() ioctl() isatty() lseek() open() read() stat() Newlib Windows > Altera > Nios II Development Kit > Nios II Documentation Altera Corporation Nios II

72 HAL ANSI C 10 2 alt_types.h alt_8 alt_u8 alt_16 alt_u16 alt_32 alt_u Altera Corporation Nios II

73 11. NII Nios II Nios II IDE GNU Nios II IDE 11 1 Nios II IDE Nios II IDE ( / ) Nios II IDE Flash Programmer Nios II IDE Nios II IDE Nios II IDE Nios II IDE Flash Programmer Flash Programmer Flash Programmer RAM RAM HAL FPGA Nios II Flash Programmer User Guide Altera Corporation Preliminary

74 11 1. Nios II IDE ( / ) Quartus II Nios II ISS ISS Nios II IDE ISS Nios II IDE Quartus II Quartus II Nios II IDE Quartus II FPGA Nios II IDE Quartus II [F1] Nios II Software Development Kit (SDK) Shell <Nios II >/bin/ Nios II SDK Shell < > --help 11 2 Nios II IDE GUI Nios II IDE Nios II IDE Nios II IDE Nios II IDE GUI Nios II IDE Nios II IDE 1 IDE 11 2 Altera Corporation Nios II

75 11 2. Nios II IDE nios2-create-system-library nios2-create-application-project nios2-build-project nios2-import-project nios2-delete-project C/C++ Nios II IDE managed-make makefile make nios2-buildproject Nios II IDE Nios II IDE Nios II IDE 11 3 Nios II nios2-download nios2-flash-programmer nios2-gdb-server nios2-terminal validate_zip Nios II TCP GNU GDB JTAGJoint Test Action Group Nios II JTAG UARTUniversal Asynchronous Receiver/Transmitter I/O zip zip Altera Corporation Nios II

76 bin2flash elf2dat elf2flash elf2hex elf2mem elf2mif flash2dat mk-nios2- signaltapmnemonictable sof2flash.flash.elf Verilog HDL.dat.elf.flash.elf Intel.hex Nios II.elf Quartus II (.mif).flash Verilog HDL.dat.elf SOPC Builder (.ptf) Nios II SignalTap II.stp FPGA.sof.flash Nios SDK AN 350: Upgrading Nios Processor Systems to the Nios II Processor ( / ) nios2-build nios2-run SDK Nios II I/O 11 4 Altera Corporation Nios II

77 11 5. ( / ) nios2-debug nios2-console Nios II Insight FS2 CLINios II GNU Nios II GNU GNU HTML Nios II GNU <Nios II >/bin/nios2-gnutools Nios II GNU nios2-elf-< > nios2-elf-gcc make nios2-elf-as nios2-elf-ld nios2-elf-objdump nios2-elf-size GNU HTML 11 6 Nios II ( / ) HALHardware Abstraction Layer 3 1 HAL MicroC/OS-II RTOS Lightweight IP TCP/IP 8 1 MicroC/OS-II 9 1 Lightweight IP Altera Corporation Nios II

78 11 6. ( / ) Newlib ANSI C zip 3 1 HAL Newlib HTML Nios II 12 1 zip Nios II Nios II 11 6 Altera Corporation Nios II

79 12. zip NII HAL ( Hardware Abstraction Layer) zip zip HAL fopen()fread()ansi CI/O zip zip Nios II IDE HAL <Nios II >/components/altera_ro_zipfs/hal/ zip zip Nios II IDE zip Nios II IDE GUIzip 4 HAL /mnt/zipfs HAL zip hello fopen( /mnt/zipfs/hello, r ) zip Nios II IDE zip zip Altera Corporation Preliminary

80 zip Nios II IDE Nios II IDE system.h zip zip zip zip zip zip WinZip GUI winzip pkzip -e0 zip zip zip Nios II IDE zip.flash Release Nios II IDE Flash Programmer zip Nios II Flash Programmer User Guide 12 2 Altera Corporation Nios II

81 _exit() 4 30, 10 2 _irq_entry 6 3 _rename() 10 3 A alt_alarm_start() 10 4 alt_alarm_stop() 10 5 alt_dcache_flush() 10 6 alt_dcache_flush_all() 10 7 alt_dev_reg() 10 8 alt_dma_rxchan_close() 10 9 alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_send() alt_dma_txchan_space() alt_erase_flash_block() alt_flash_close_dev() alt_flash_open_dev() alt_fs_reg() alt_get_flash_info() alt_icache_flush() alt_icache_flush_all() alt_irq_disable_all() alt_irq_enable_all() alt_irq_enabled() alt_irq_handler() 6 3 alt_irq_register() 6 7, alt_irq_register() ISR 6 7 alt_llist_insert() alt_llist_remove() alt_lwip_dev_list 5 15 alt_nticks() alt_read_flash() alt_remap_cached() alt_remap_uncached alt_sysclk_init() alt_tick() alt_ticks_per_second() alt_timestamp() alt_timestamp_freq() alt_timestamp_start() alt_uncached_free() alt_uncached_malloc() alt_write_flash() alt_write_flash_block() C close() C 6 11 D dev/null 4 27 DMA 4 23, 5 13 DMA 4 21, 5 12 DMA DMA 4 23 DMA 4 21 HAL 4 20 DMA 4 24 DMA 5 11 DMA HAL 4 20 E execve() F fork() fstat() Altera Corporation 1 Preliminary

82 Nios II G get_ip_addr() 9 7 get_mac_addr() 9 7 getpid() gettimeofday() GNU tool chain 1 2 GNU 11 5 H HAL API 5 1 HAL API 5 1 HAL API _exit() 10 2 _rename() 10 3 alt_alarm_start() 10 4 alt_alarm_stop() 10 5 alt_dcache_flush() 10 6 alt_dcache_flush_all() 10 7 alt_dev_reg() 10 8 alt_dma_rxchan_close() 10 9 alt_dma_rxchan_depth() alt_dma_rxchan_ioctl() alt_dma_rxchan_open() alt_dma_rxchan_prepare() alt_dma_rxchan_reg() alt_dma_txchan_close() alt_dma_txchan_ioctl() alt_dma_txchan_open() alt_dma_txchan_reg() alt_dma_txchan_send() alt_dma_txchan_space() alt_erase_flash_block() alt_flash_close_dev() alt_flash_open_dev() alt_fs_reg() alt_get_flash_info() alt_icache_flush() alt_icache_flush_all() alt_irq_disable_all() alt_irq_enable_all() alt_irq_enabled() alt_irq_register() alt_llist_insert() alt_llist_remove() alt_nticks() alt_read_flash() alt_remap_cached() alt_remap_uncached() alt_sysclk_init alt_tick() alt_ticks_per_second() alt_timestamp() alt_timestamp_freq() alt_timestamp_start() alt_uncached_free() alt_uncached_malloc() alt_write_flash() alt_write_flash_block() close() execve() fork() fstat() getpid() gettimeofday() ioctl() isatty() kill() link() lseek() open() read() sbrk() settimeofday() stat() times() unlink() usleep() wait() write() HALsystem.h 4 3 HAL HAL 3 2 newlib HAL HAL 4 38 HAL HAL Altera Corporation Preliminary

83 HAL 4 10 HAL / CPU 7 8 HAL 1 3 HAL HAL HAL HAL 4 38 HAL HAL 4 38 HAL 4 38 HAL 4 38 HAL HAL _irq_entry 6 3 alt_irq_handler() 6 3 software_exception HAL DMA HAL HAL 5 5 HAL 5 17 HAL 5 17 HAL HAL 4 38 HAL HAL 5 17 HAL HAL 4 32 HAL HAL 5 5 HAL 5 17 SOPC Builder HAL 5 17 HAL DMA 4 20 DMA 4 20 HAL 4 4 HAL 4 38 HAL 4 38 Nios IDE 4 1 UNIX I init_done_func() 9 5 init_routine() 5 15 ioctl() isatty() Altera Corporation 3 Preliminary

84 Nios II ISR C 6 11 ISR 6 10 ISR 6 8 ISR 6 10 ISR 6 7 ISR HAL API ISR 6 15 ISR 6 10 ISR 6 8 ISR 6 13 ISR 6 10 ISR HAL API 6 7 ISR 6 14 K kill() L Lightweight IP ARP 9 12 DHCP 9 12 IP 9 11 Lightweight TCP/IP 9 11 Nios II 9 1 TCP 9 12 UDP Lightweight IP Nios II 9 1 link() linkoutput() 5 16 lseek() lwip_devices_init() 9 7 lwip_stack_init() 9 5 LWIP Lightweight IP 9 3 M MicroC/OS-II MicroC/OS-II 8 2 Newlib ANSI C 8 6 Nios II IDE RTOS MicroC/OS-II 8 1 N Newlib ANSI C MicroC/OS-II 8 6 Newlib C 4 28 Nios II IDE 1 2 Nios II IDE 11 1 Nios II IDE LWIP Lightweight IP 9 10 Nios II IDE MicroC/OS-II 8 7 Nios II IDE Nios IDE Altera Corporation Preliminary

85 Nios II 7 1 Nios II 6 1 Nios IDE Nios II IDE Nios IDE HAL 4 1 O open() output() 5 16 R read() RTOS TCP/IP 1 3 rx_routine() 5 16 S sbrk() settimeofday() software_exception 6 4 SOPC Builder system.h 5 3 SOPC Builder HAL SOPC 5 3 stat() stderr 4 8 stdin 4 8 stdout 4 8 system.h HAL 4 3 system.h SOPC Builder 5 3 T times() U UNIX HAL 4 5 unlink() usleep() W wait() write() Z zip zip 12 2 zip zip 12 2 MicroC/OS-II GNU 11 5 Nios II IDE MicroC/OS-II MicroC/OS-II Altera Corporation 5 Preliminary

86 Nios II 5 14 alt_lwip_dev_list 5 15 init_routine() 5 15 linkoutput() 5 16 output() 5 16 rx_routine() 5 16 Lightweight IP LWIP Nios II 9 1 LWIP 9 2 LWIP 9 3 Nios II IDE LWIP TCP/IP MicroC/OS-II 8 8 MicroC/OS-II HAL Nios IDE Lightweight IP 9 13 MicroC/OS-II 8 1 Nios Nios HAL API Nios II 7 1 HAL API / CPU C dev/null 4 9 HAL HAL 4 7 MicroC/OS-II Altera Corporation Preliminary

87 ISR 6 12 _exit() 4 30 dev/null 4 27 HAL 4 26 Newlib C HAL HAL 3 6 HAL Lightweight IP 9 4 HAL 3 1 Nios IDE MicroC/OS-II 8 12 HAL 7 6 Lightweight IP MicroC/OS-II 8 3 MicroC/OS-II 8 9 MicroC/OS-II 8 9 RTOS MicroC/OS-II 8 2 MicroC/OS-II 8 1 Nios 1 5 MicroC/OS-II 8 11 TCP/IP Lightweight IP 9 3 HAL 4 10 HAL HAL Altera Corporation 7 Preliminary

88 Nios II MicroC/OS-II Nios GNU tool chain 1 2 HAL 1 3 Nios II IDE 1 2 RTOS TCP/IP HAL 4 4 MicroC/OS-II 8 4 HAL 5 2 HAL HAL HAL HAL 5 22 HAL 5 3 HAL API 10 1 HAL 3 1 HAL 5 1 HAL 4 1 MicroC/OS-II 8 1 Nios IDE Lightweight IP zip HAL HAL HAL 4 9 HAL 4 6 HAL Altera Corporation Preliminary

89 HAL 4 14 Nios IDE HAL Nios IDE 2 6 HAL zip zip 12 1 Nios IDE 2 4 API / CPU HAL MicroC/OS-II 8 10 DMA 4 24 MicroC/OS-II HAL Lightweight IP 9 3 MicroC/OS-II zip zip 12 2 zip 12 1 zip HAL Altera Corporation 9 Preliminary

90 Nios II HAL 6 2 ISR 6 6 ISR 6 15 ISR 6 13 ISR 6 14 Nios II 6 1 ISR Altera Corporation Preliminary

Nios II ソフトウェア開発ハンドブック Version 1.2 第5章. HAL用デバイス・ドライバの開発 ver.1.1

Nios II ソフトウェア開発ハンドブック Version 1.2 第5章. HAL用デバイス・ドライバの開発 ver.1.1 5. HAL NII52005-1.1 HAL Hardware Abstraction Layer HAL API Application Programming Interface Nios II 2 HAL HAL API API HAL API HAL API DMA HAL HAL API HAL API ASCII LCD printf() LCD Altera Corporation

More information

Nios II ソフトウェア開発ハンドブック Version 1.2 第4章. HALを使用したプログラムの開発 ver.1.2

Nios II ソフトウェア開発ハンドブック Version 1.2 第4章. HALを使用したプログラムの開発 ver.1.2 4. HAL NII52004-1.2 HAL Hardware Abstraction Layer HAL API Nios II HAL ANSI C HAL API ANSI C HAL HAL API ANSI C ANSI C HAL HAL printf() scanf() ANSI C I/O HAL API RTOS ANSI C Nios II IDE HAL Nios II IDE

More information

Nios II IDE によるソフトウェア開発 - セクション 1

Nios II IDE によるソフトウェア開発 - セクション 1 ALTIMA Corp. Nios II IDE によるソフトウェア 開 発 セクション 1 ver.1.0 2010 年 8 月 ELSENA,Inc. 目 次 1. はじめに... 3 2. HAL を 用 いたプログラミング... 3 2-1. HAL (Hardware Abstraction Layer)... 3 2-2. Nios II IDE プロジェクトの 構 造... 4 2-3.

More information

Quartus II ハンドブック Volume 5、セクションIV. マルチプロセッサの調整

Quartus II ハンドブック  Volume 5、セクションIV. マルチプロセッサの調整 IV. SOPC Builder Nios II 9 Avalon Mutex 10 Avalon Mailbox 9 10 / 9 v5.1.0 2005 5 v5.0.0 Nios II 2004 12 v1.0 10 v5.1.0 2005 5 v5.0.0 Altera Corporation IV 1 Quartus II Volume 5 IV 2 Altera Corporation

More information

Nios II ソフトウェア開発ハンドブック Version 1.2 第6章. 例外処理 ver.1.2

Nios II ソフトウェア開発ハンドブック Version 1.2 第6章. 例外処理 ver.1.2 6. NII52006-1.2 Nios II ISR HAL Hardware Abstraction Layer Nios II HAL ISR ISR HAL API Application Programming Interface ISR ISR C ISR ISR ISR Nios II Nios II Nios II Nios II RISC 1 1 Nios II Altera Corporation

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

slide4.pptx

slide4.pptx ソフトウェア工学入門 第 4 回ライブラリ関数 ライブラリ関数 stdio stdio : 標準入出力ライブラリ カーネルレベルのストリームに API を追加し インタフェースを提供する カーネル fd read(2) write(2) stdio バッファ BUFSIZ プログラム BUFSIZ ごと 小さい単位 バッファ : 一時的にデータを保存しておく場所のことバッファリング : バッファを経由してデータをやり取りすること

More information

Quickstart Guide 3rd Edition

Quickstart Guide 3rd Edition 10 QNX QNX 1 2 3 4 5 QNX Momentics QNX Neutrino RTOS QNX Neutrino 6 7 8 QNX Neutrino 9 10 1 1 QNX Neutrino RTOS QNX Momentics Windows Vista Windows 2000 Windows XP Linux QNX Neutrino QNX Momentics CD http://www.qnx.co.jp/

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Nios II IDE によるソフトウェア開発 セクション 2

Nios II IDE によるソフトウェア開発  セクション 2 ALTIMA Corp. Nios II IDE によるソフトウェア開発セクション 2 ver.1.0 2010 年 8 月 ELSENA,Inc. Nios II IDE によるソフトウェア開発セクション 2 目次 1. はじめに... 4 2. Nios II ソフトウェア プロジェクトが必要とする重要なファイル... 4 2-1. HAL システム ヘッダファイル... 4 2-2. リンカ

More information

MINI2440マニュアル

MINI2440マニュアル Open-JTAG LPC2388 + GCC + Eclipse http://www.csun.co.jp info@csun.co.jp Ver1.4 2009/7/31 LPC2388 OpenJTAG copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 ...3 ARM...4...5...6 4.2 OpenJTAG...6 4.2...8

More information

mstrcpy char *mstrcpy(const char *src); mstrcpy malloc (main free ) stdio.h fgets char *fgets(char *s, int size, FILE *stream); s size ( )

mstrcpy char *mstrcpy(const char *src); mstrcpy malloc (main free ) stdio.h fgets char *fgets(char *s, int size, FILE *stream); s size ( ) 2008 3 10 1 mstrcpy char *mstrcpy(const char *src); mstrcpy malloc (main free ) stdio.h fgets char *fgets(char *s, int size, FILE *stream); s size ( ) stream FILE ( man ) 40 ( ) %./a.out String : test

More information

Nios II - Vectored Interrupt Controller の実装

Nios II - Vectored Interrupt Controller の実装 ALTIMA Corp. Nios II Vectored Interrupt Controller の実装 ver.1.0 2010 年 7 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 4 3-1. SOPC Builder の設定... 4 3-2. ペリフェラルの設定... 4 3-2-1. VIC の設定... 4 3-2-2.

More information

FreeBSD 1

FreeBSD 1 FreeBSD 1 UNIX OS 1 ( ) open, close, read, write, ioctl (cdevsw) OS DMA 2 (8 ) (24 ) 256 open/close/read/write Ioctl 3 2 2 I/O I/O CPU 4 open/close/read/write open, read, write open/close read/write /dev

More information

ファイル入出力と プロセス間通信 \(1\)

ファイル入出力と プロセス間通信 \(1\) ファイル入出力と プロセス間通信 (1) 2004 年 12 月 10 日 海谷治彦 1 目次 まずはマニュアルをみよう. 2 章システムコールインタフェース 3 章汎用関数定義 アンバッファー化入出力 (Unbuffered I/O) open, read, write... lseek, dup... 標準入出力ライブラリ fopen, fscanf, fprintf... 標準入力, 標準出力,

More information

1) // 2) I/O 3) Japan Advanced Institute of Science and Technology 2013/07/26 1

1) // 2) I/O 3) Japan Advanced Institute of Science and Technology 2013/07/26 1 I441 2013/07/26 Dependable Network Innovation Center, Japan Advanced Institute of Science and Technology 1) // 2) I/O 3) Japan Advanced Institute of Science and Technology 2013/07/26 1 1) Comer: Internetworking

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

/ SCHEDULE /06/07(Tue) / Basic of Programming /06/09(Thu) / Fundamental structures /06/14(Tue) / Memory Management /06/1

/ SCHEDULE /06/07(Tue) / Basic of Programming /06/09(Thu) / Fundamental structures /06/14(Tue) / Memory Management /06/1 I117 II I117 PROGRAMMING PRACTICE II 2 MEMORY MANAGEMENT 2 Research Center for Advanced Computing Infrastructure (RCACI) / Yasuhiro Ohara yasu@jaist.ac.jp / SCHEDULE 1. 2011/06/07(Tue) / Basic of Programming

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

ARM gcc Kunihiko IMAI 2009 1 11 ARM gcc 1 2 2 2 3 3 4 3 4.1................................. 3 4.2............................................ 4 4.3........................................

More information

PostgreSQL 解析ドキュメント

PostgreSQL 解析ドキュメント postmaster Unix postmaster postmaster postmaster postmaster DB BootstrapMain() 7.4.2 postmaster [ 2 ] Unix [ 3 ] Unix ( ) (SIGKILL, SIGSTOP) abort exit abort core (core dump) exit core dump ( ) [ 4 ] [

More information

tutorial_lc.dvi

tutorial_lc.dvi 00 Linux v.s. RT Linux v.s. ART-Linux Linux RT-Linux ART-Linux Linux kumagai@emura.mech.tohoku.ac.jp 1 1.1 Linux Yes, No.,. OS., Yes. Linux,.,, Linux., Linux.,, Linux. Linux.,,. Linux,.,, 0..,. RT-Linux

More information

C言語におけるファイル入出力の高速化

C言語におけるファイル入出力の高速化 C 言語におけるファイル入出力の高速化 東京大学情報基盤センター 黒田久泰 不連続なメモリ上のデータをファイルに保存する場合 内部バッファサイズを大きくすると実行時間が短縮できます また メモリ上に連続して配置されている大規模なデータをファイルに保存する場合には できるだけ大きなデータサイズでファイル入出力を行うことで実行時間が短縮できます ここでは これらの方法や性能について紹介します 1. 内部バッファサイズの変更方法高水準入出力関数

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

Linux on ITRON-ハイブリッド構造の実装

Linux on ITRON-ハイブリッド構造の実装 Linux on ITRON ハイブリッド構造の実装 目次... 2 Linux... 3... 4... 5 Linux Emblix... 6... 6... 7 OS... 8 FIFO... 8... 11...14 OS...14 Linux...17...18 OS...20...21...22...22 /...25 OS...28 ITRON...29...30 /...30 ITRON...30

More information

( ) 3 1 ( ), ( ).. 1

( ) 3 1 ( ), ( ).. 1 30 2019 1 22 ( ) 3 1 ( ), 2-9 5 ( ).. 1 1. ( T):,? ( O):, T:,? O:!?,!?,... T:,,,? O:!?,,, OS? T:,, SSD, OS, CPU, OS SSD,? O:,,...? T: : OS,,, ( ) (1),. Linux, Unix OS. (2), (permission), (owner)., ( :

More information

1 C STL(1) C C C libc C C C++ STL(Standard Template Library ) libc libc C++ C STL libc STL iostream Algorithm libc STL string vector l

1 C STL(1) C C C libc C C C++ STL(Standard Template Library ) libc libc C++ C STL libc STL iostream Algorithm libc STL string vector l C/C++ 2007 6 18 1 C STL(1) 2 1.1............................................... 2 1.2 stdio................................................ 3 1.3.......................................... 10 2 11 2.1 sizeof......................................

More information

double float

double float 2015 3 13 1 2 2 3 2.1.......................... 3 2.2............................. 3 3 4 3.1............................... 4 3.2 double float......................... 5 3.3 main.......................

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

GNU/Linux on SuperH g,,,,, GNU/Linux on SuperH [1] SuperH (SH-3 SH-4) GNU/Linux g linux-kernel 1998 Linux (SH-3) g GD

GNU/Linux on SuperH g,,,,, GNU/Linux on SuperH [1] SuperH (SH-3 SH-4) GNU/Linux g linux-kernel 1998 Linux (SH-3) g GD GNU/Linux on SuperH g,,,,, 2001 9 28 1 GNU/Linux on SuperH [1] SuperH (SH-3 SH-4) GNU/Linux 1999 8 g 1 1998 linux-kernel 1998 Linux (SH-3) 1999 7 g GDB CqREEK SH-3 1999 8 g Linux (SH-3) 1999 8 Linux (SH-4)

More information

A/B (2018/10/19) Ver kurino/2018/soft/soft.html A/B

A/B (2018/10/19) Ver kurino/2018/soft/soft.html A/B A/B (2018/10/19) Ver. 1.0 kurino@math.cst.nihon-u.ac.jp http://edu-gw2.math.cst.nihon-u.ac.jp/ kurino/2018/soft/soft.html 2018 10 19 A/B 1 2018 10 19 2 1 1 1.1 OHP.................................... 1

More information

13 I/O

13 I/O 13 I/O 98-0997-3 14 2 7 Linux OS OS OS I/O I/O TS-I/O I/O I/O TS-I/O TS-I/O 3 1 7 2 9 2.1..................... 9 2.2.................. 10 2.3 2...................... 12 2.4 Linux................... 14

More information

Makefile, TCPソケットサーバ, コマンドライン引数

Makefile, TCPソケットサーバ, コマンドライン引数 L11(2017-12-12 Tue) : Time-stamp: 2017-12-22 Fri 12:28 JST hig ( ) make http://hig3.net L11 (2017) 1 / 24 I, void die(char message) void die(char message[])... 1 #i n c l u d e 2 / / 3 double

More information

I 2 tutimura/ I 2 p.1/??

I 2   tutimura/ I 2 p.1/?? I 2 tutimura@mist.i.u-tokyo.ac.jp http://www.misojiro.t.u-tokyo.ac.jp/ tutimura/ 2002 4 25 I 2 p.1/?? / / Makefile I 2 p.2/?? Makefile make GNU make I 2 p.3/?? Makefile L A T E X I 2 p.4/?? core (1) gcc,

More information

スレッド

スレッド POSIX スレッド (2) システムプログラミング 2011 年 10 月 31 日 建部修見 スレッドセーフな関数 マルチスレッドセーフ MT セーフ reentrant ( リエントラント 再入可能 ) ともいう 同時に複数のスレッドで呼出しても良い関数 呼出側で何もしなくてもよい スレッドセーフな関数 (2) ただし 呼出側で管理しているメモリ領域は守られない 複数のスレッドが memcpy

More information

オペレーティングシステム2004 プロセス \(1\)

オペレーティングシステム2004 プロセス \(1\) オペレーティングシステム2004 プロセス (2) およびカーネルモード システムコール 2004 年 10 月 14 日海谷治彦 1 カーネルモード 目次 システムコール Linux2.4 でのプロセスの実装 fork() を使ったプログラム再び 次回の演習にむけて OS というより, むしろ C 言語のリハビリ 2 第 1 回より抜粋オブジェクトとしてのカーネル アプリケーション ( ワープロ

More information

1 1.1 C 2 1 double a[ ][ ]; 1 3x x3 ( ) malloc() malloc 2 #include <stdio.h> #include

1 1.1 C 2 1 double a[ ][ ]; 1 3x x3 ( ) malloc() malloc 2 #include <stdio.h> #include 1 1.1 C 2 1 double a[ ][ ]; 1 3x3 0 1 3x3 ( ) 0.240 0.143 0.339 0.191 0.341 0.477 0.412 0.003 0.921 1.2 malloc() malloc 2 #include #include #include enum LENGTH = 10 ; int

More information

Original : Hello World! (0x0xbfab85e0) Copy : Hello World! (0x0x804a050) fgets mstrcpy malloc mstrcpy (main ) mstrcpy malloc free fgets stream 1 ( \n

Original : Hello World! (0x0xbfab85e0) Copy : Hello World! (0x0x804a050) fgets mstrcpy malloc mstrcpy (main ) mstrcpy malloc free fgets stream 1 ( \n 2008 3 10 1 mstrcpy char *mstrcpy(const char *src); mstrcpy malloc (main free ) stdio.h fgets char *fgets(char *s, int size, FILE *stream); s size ( ) stream FILE ( man ) 40 ( ) %./a.out String : test

More information

Nios IIプロセッサ・リファレンス・ハンドブック、セクション I. Nios IIプロセッサ Ver. 1.2

Nios IIプロセッサ・リファレンス・ハンドブック、セクション I. Nios IIプロセッサ Ver. 1.2 I. Nios II Nios II 1 2 3 4 SOPC Builder Nios II Altera Corporation I 1 Nios II 1 4 Nios II Nios II / 1 2004 9 v1.1 Nios II 1.01 2004 5 v1.0 2 2004 12 v1.2 ctl5 2004 9 v1.1 Nios II 1.01 2004 5 v1.0 3 2004

More information

IP L09( Tue) : Time-stamp: Tue 14:52 JST hig TCP/IP. IP,,,. ( ) L09 IP (2017) 1 / 28

IP L09( Tue) : Time-stamp: Tue 14:52 JST hig TCP/IP. IP,,,. ( )   L09 IP (2017) 1 / 28 L09(2017-11-21 Tue) : Time-stamp: 2017-11-21 Tue 14:52 JST hig TCP/IP. IP,,,. http://hig3.net L09 (2017) 1 / 28 9, IP, - L09 (2017) 2 / 28 C (ex. ) 1 TCP/IP 2 3 ( ) ( L09 (2017) 3 / 28 50+5, ( )50+5. (

More information

r07.dvi

r07.dvi 19 7 ( ) 2019.4.20 1 1.1 (data structure ( (dynamic data structure 1 malloc C free C (garbage collection GC C GC(conservative GC 2 1.2 data next p 3 5 7 9 p 3 5 7 9 p 3 5 7 9 1 1: (single linked list 1

More information

untitled

untitled FutureNet Microsoft Corporation Microsoft Windows Windows 95 Windows 98 Windows NT4.0 Windows 2000, Windows XP, Microsoft Internet Exproler (1) (2) (3) COM. (4) (5) ii ... 1 1.1... 1 1.2... 3 1.3... 6...

More information

ohp07.dvi

ohp07.dvi 19 7 ( ) 2019.4.20 1 (data structure) ( ) (dynamic data structure) 1 malloc C free 1 (static data structure) 2 (2) C (garbage collection GC) C GC(conservative GC) 2 2 conservative GC 3 data next p 3 5

More information

1 1.1 C 2 1 double a[ ][ ]; 1 3x x3 ( ) malloc() 2 double *a[ ]; double 1 malloc() dou

1 1.1 C 2 1 double a[ ][ ]; 1 3x x3 ( ) malloc() 2 double *a[ ]; double 1 malloc() dou 1 1.1 C 2 1 double a[ ][ ]; 1 3x3 0 1 3x3 ( ) 0.240 0.143 0.339 0.191 0.341 0.477 0.412 0.003 0.921 1.2 malloc() 2 double *a[ ]; double 1 malloc() double 1 malloc() free() 3 #include #include

More information

第5回お試しアカウント付き並列プログラミング講習会

第5回お試しアカウント付き並列プログラミング講習会 qstat -l ID (qstat -f) qscript ID BATCH REQUEST: 253443.batch1 Name: test.sh Owner: uid=32637, gid=30123 Priority: 63 State: 1(RUNNING) Created at: Tue Jun 30 05:36:24 2009 Started at: Tue Jun 30 05:36:27

More information

Revision

Revision Revision 0 Lattice Mico32 222-8561 1-6-3 1 045-470-9841 FAX 045-470-9844 ... 1... 1... 1 LatticeMico32... 2 Mico32... 3... 3... 4 Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)...

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

slide6.pptx

slide6.pptx ソフトウェア工学入門 第 6 回コマンド作成 2 ファイルシステム 今後のスケジュール 5/28. コマンド作成 2 ( 本日 ) 6/4. ファイルシステム プロセス ハードウェア 6/11. 第 2 回個別試験 grep プログラム 1 次のプログラムを作成し grep.c という名前で保存しなさい #include #include #include

More information

Linuxデバイスドライバ.PDF

Linuxデバイスドライバ.PDF Linux hidaka@devdrv.com 2002/10/9 Linux Kernel Conference 2002 1 Linux 2 Linux 3 Software Hardware Device Algolith m Protocol Applicati on 4 CPU 128MB NIC ATI Radeon GeForce2 MX400 Matrox G400 DISK 5 OS

More information

I /07/30 Dependable Network Innovation Center, Japan Advanced Institute of Science and Technology

I /07/30 Dependable Network Innovation Center, Japan Advanced Institute of Science and Technology I441 2013/07/30 Dependable Network Innovation Center, Japan Advanced Institute of Science and Technology I/O Japan Advanced Institute of Science and Technology 2013/07/30 1 fork/pthread create I/O Japan

More information

X Window System X X &

X Window System X X & 1 1 1.1 X Window System................................... 1 1.2 X......................................... 1 1.3 X &................................ 1 1.3.1 X.......................... 1 1.3.2 &....................................

More information

どの簡単なプロジェクトを作っておく必要がある 1 新しいプロジェクトを作る 1-1:[File]-[New]-[C Project] で C Project ウィンドウを表示 1-2: 下記の設定をする Project name 好きな名前を英文でつける Project type [ARM Cros

どの簡単なプロジェクトを作っておく必要がある 1 新しいプロジェクトを作る 1-1:[File]-[New]-[C Project] で C Project ウィンドウを表示 1-2: 下記の設定をする Project name 好きな名前を英文でつける Project type [ARM Cros TrueSTUDIO から Eclipse への乗り換えガイド Rev.2 ( 花岡ちゃんに花束を http://cyberworks.cocolog-nifty.com/ ) お世話になったサイト http://ameblo.jp/henachokox/entry-11207507403.html ありがとうございます 目的 : TrueSTUDIO の無償版で作った STM32F4 のプロジェクトを

More information

: Nonblocking I/O readpartial read EOF Solaris FILE 256 ungetc SEGV errno stdio considered harmful p.

: Nonblocking I/O readpartial read EOF Solaris FILE 256 ungetc SEGV errno stdio considered harmful p. stdio considered harmful akr@m17n.org 2005 06 02 stdio considered harmful p. : Nonblocking I/O readpartial read EOF Solaris FILE 256 ungetc SEGV errno stdio considered harmful p. : stdio stdio Nonblocking

More information

program.dvi

program.dvi 2001.06.19 1 programming semi ver.1.0 2001.06.19 1 GA SA 2 A 2.1 valuename = value value name = valuename # ; Fig. 1 #-----GA parameter popsize = 200 mutation rate = 0.01 crossover rate = 1.0 generation

More information

スライド タイトルなし

スライド タイトルなし ファイル入出力 (2) これまでのおさらい ( 入出力 ) これまでの入出力は 入力 scanf 出力 printf キーボードと画面 ( 端末 ) scanf/printf は 書式つき入出力 フォーマットを指定する 標準入出力を対象とする 何もしなければ 標準入出力は キーボードと画面 ストリームという考え方 ストリーム (stream) = データの列 キーボードから打つ文字列 画面に出力される文字列

More information

main main Makefile Makefile C.5 Makefile Makefile Makefile A Mech (TA ) 1. Web (http://www.jsk.t.u-tokyo.ac.jp/ iku

main main Makefile Makefile C.5 Makefile Makefile Makefile A Mech (TA ) 1. Web (http://www.jsk.t.u-tokyo.ac.jp/ iku 2008 (mizuuchi@i.u-tokyo.ac.jp) http://www.jsk.t.u-tokyo.ac.jp/ http://www.jsk.t.u-tokyo.ac.jp/ ikuo/enshu/keisanki/ 2008 5 19 6 24 1 2 2.1 my_sound.c, my_sounc.h, play.c, record.c 2 2. 2.2 2.2.1 main

More information

V850Jx3-U SPボード向けサンプルプログラム操作説明書

V850Jx3-U SPボード向けサンプルプログラム操作説明書 TK-850/JH3U-SP LCD 表示サンプルプログラム 操作説明書 (LCD 有り版向け ) 第 1.0 版 テセラ テクノロジー ( 株 ) 本資料の内容は予告なく変更することがあります 文書による当社の承諾なしに本資料の転載複製を禁じます 本資料に記載された製品の使用もしくは本資料に記載の情報の使用に際して, 当社は当社もしくは第三者の知的財産権その他の権利に対する保証または実施権の許諾を行うものではありません

More information

para02-2.dvi

para02-2.dvi 2002 2 2002 4 23 : MPI MPI 1 MPI MPI(Message Passing Interface) MPI UNIX Windows Machintosh OS, MPI 2 1 1 2 2.1 1 1 1 1 1 1 Fig. 1 A B C F Fig. 2 A B F Fig. 1 1 1 Fig. 2 2.2 Fig. 3 1 . Fig. 4 Fig. 3 Fig.

More information

ikuo/enshu/keisanki/ GUI(Graphica

ikuo/enshu/keisanki/ GUI(Graphica 2008 (mizuuchi@i.u-tokyo.ac.jp) http://www.jsk.t.u-tokyo.ac.jp/ http://www.jsk.t.u-tokyo.ac.jp/ ikuo/enshu/keisanki/ 2008 5 16 6 23 1 2 1 GUI(Graphical User Interface) 2 2.1 CD USB ( LSI ) (hardware abstraction)

More information

Apache Web Server 2 Compaq ActiveAnswers Deskpro Compaq Insight Manager Fastart Systempro Systempro/LT ProLiant ROMPaq Qvision SmartStart NetFlex Quic

Apache Web Server 2 Compaq ActiveAnswers Deskpro Compaq Insight Manager Fastart Systempro Systempro/LT ProLiant ROMPaq Qvision SmartStart NetFlex Quic Technical Guide 1999 5 Internet and E-Commerce Solutions Business Unit Enterprise Solutions Division Apache Web Server Compaq Linux Apache HTTP Compaq Computer Corporation...3...3...4...5...6...7...7...7...8...10...10...16...16

More information

Microsoft Word - EGX100によるH663通信手引

Microsoft Word - EGX100によるH663通信手引 PowerLogic EthernetGateway(EGX100) による H663 データ取得早分かり手引き 2011 年 11 月 11 日 JAVASYS 1. 概要 H663 は RS-485 によって上位機と通信し データのやりとりを行います 本仕様書は PowerLogic EthernetGateway(EGX100) によるデータ取得の開発に関して簡単な手引きを記述します EGX100

More information

untitled

untitled RPC (( Remote Procedure Call (RPC: Message-Oriented Middleware (MOM) data-streaming =(protocol) A B A B Connection protocol = connection oriented protocol TCP (Transmission Control Protocol) connectionless

More information

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化 ver. 9.1 2009 年 12 月 1. はじめに Nios II にオプションで実装できる浮動小数演算カスタム インストラクションは 浮動小数四則演算はサポートしているものの 整数から浮動小数にキャスト ( 型変換 ) する機能やその逆の機能は備えていません この資料では 単精度浮動小数型と整数型の変換を簡単に Nios II のカスタム インストラクションに実装する方法を紹介しています なお

More information

HP Server tc2120 Microsoft Windows 2000 Server SP3 HP P

HP Server tc2120 Microsoft Windows 2000 Server SP3 HP P HP Server tc2120 Microsoft Windows 2000 ServerSP3 HP P312977-191 200210 Hewlett-Packard Company Hewlett- Packard Company Hewlett-Packard Company Intel Corporation MicrosoftMS-DOSWindows Windows NT Microsoft

More information

I. Backus-Naur BNF S + S S * S S x S +, *, x BNF S (parse tree) : * x + x x S * S x + S S S x x (1) * x x * x (2) * + x x x (3) + x * x + x x (4) * *

I. Backus-Naur BNF S + S S * S S x S +, *, x BNF S (parse tree) : * x + x x S * S x + S S S x x (1) * x x * x (2) * + x x x (3) + x * x + x x (4) * * 2015 2015 07 30 10:30 12:00 I. I VI II. III. IV. a d V. VI. 80 100 60 1 I. Backus-Naur BNF S + S S * S S x S +, *, x BNF S (parse tree) : * x + x x S * S x + S S S x x (1) * x x * x (2) * + x x x (3) +

More information

Adobe LiveCycle Workbench 11 のインストール

Adobe LiveCycle Workbench 11 のインストール Adobe LiveCycle - Workbench 10 http://help.adobe.com/ja_jp/legalnotices/index.html iii 1 1.1............................................................................................ 1 1.2..............................................................................................................

More information

Windows Web Windows Windows WinSock

Windows Web Windows Windows WinSock Windows kaneko@ipl.t.u-tokyo.ac.jp tutimura@mist.t.u-tokyo.ac.jp 2002 12 4 8 Windows Web Windows Windows WinSock UNIX Microsoft Windows Windows Windows Windows Windows.NET Windows 95 DOS Win3.1(Win16API)

More information

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

I. Backus-Naur BNF : N N 0 N N N N N N 0, 1 BNF N N 0 11 (parse tree) 11 (1) (2) (3) (4) II. 0(0 101)* (

I. Backus-Naur BNF : N N 0 N N N N N N 0, 1 BNF N N 0 11 (parse tree) 11 (1) (2) (3) (4) II. 0(0 101)* ( 2016 2016 07 28 10:30 12:00 I. I VI II. III. IV. a d V. VI. 80 100 60 1 I. Backus-Naur BNF : 11011 N N 0 N N 11 1001 N N N N 0, 1 BNF N N 0 11 (parse tree) 11 (1) 1100100 (2) 1111011 (3) 1110010 (4) 1001011

More information

大統一Debian勉強会 gdb+python拡張を使ったデバッグ手法

大統一Debian勉強会 gdb+python拡張を使ったデバッグ手法 Debian 2013 gdb+python nozzy@debian.or.jp 2013 6 29 Level Debian Up Debian Debian debian sid unstable Debian debian sid unstable *-dbg Debian debian sid unstable *-dbg gdb Debian debian sid unstable *-dbg

More information

インテル® スレッドチェッカー 3.1 Linux* 版

インテル® スレッドチェッカー 3.1 Linux* 版 ... 2 1.... 3 2.... 5 3.... 7 4.... 10 Intel's Terms and Conditions of Sale IntelIntel Intel Corporation * 2007 Intel Corporation. 313445JA 001 2006 5 313445 JA 002 2006 9 2 : 313445-002JA 1. primes 1

More information

Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows

Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows Systemwalker IT Service Management Systemwalker Centric Manager IT Service

More information

programmingII2019-v01

programmingII2019-v01 II 2019 2Q A 6/11 6/18 6/25 7/2 7/9 7/16 7/23 B 6/12 6/19 6/24 7/3 7/10 7/17 7/24 x = 0 dv(t) dt = g Z t2 t 1 dv(t) dt dt = Z t2 t 1 gdt g v(t 2 ) = v(t 1 ) + g(t 2 t 1 ) v v(t) x g(t 2 t 1 ) t 1 t 2

More information

untitled

untitled II yacc 005 : 1, 1 1 1 %{ int lineno=0; 3 int wordno=0; 4 int charno=0; 5 6 %} 7 8 %% 9 [ \t]+ { charno+=strlen(yytext); } 10 "\n" { lineno++; charno++; } 11 [^ \t\n]+ { wordno++; charno+=strlen(yytext);}

More information

£Ã¥×¥í¥°¥é¥ß¥ó¥°(2018) - Âè10²ó – ¿¹à¼°¤Îɾ²Á¡§¥¢¥ë¥´¥ê¥º¥à¤Î²þÁ± –

£Ã¥×¥í¥°¥é¥ß¥ó¥°(2018) - Âè10²ó – ¿¹à¼°¤Îɾ²Á¡§¥¢¥ë¥´¥ê¥º¥à¤Î²þÁ± – (2018) 10 2018 12 06 p(x) = a n x n + a n 1 x n 1 + + a 1 x + a 0 = n a n x n k=0 p(x) = a n x n + a n 1 x n 1 + + a 1 x + a 0 = n a n x n k=0 1 a k x k = a k {{ x x x p(x) = a n x n + a n 1 x n 1 + +

More information

yacc.dvi

yacc.dvi 2017 c 8 Yacc Mini-C C/C++, yacc, Mini-C, run,, Mini-C 81 Yacc Yacc, 1, 2 ( ), while ::= "while" "(" ")" while yacc 1: st while : lex KW WHILE lex LPAREN expression lex RPAREN statement 2: 3: $$ = new

More information

r08.dvi

r08.dvi 19 8 ( ) 019.4.0 1 1.1 (linked list) ( ) next ( 1) (head) (tail) ( ) top head tail head data next 1: NULL nil ( ) NULL ( NULL ) ( 1 ) (double linked list ) ( ) 1 next 1 prev 1 head cur tail head cur prev

More information

EDSF2006_ PDF

EDSF2006_ PDF /SystemC SystemC FPFA 1 Techno Repo LSI / 2 Techno Repo 3 Techno Repo 4 Techno Repo DesignPrototyper 5 Techno Repo 6 Techno Repo 7 Techno Repo 8 Techno Repo 9 Techno Repo C/C++ C/C++/SystemC IP (Verilog-HDL/

More information

GNU Emacs GNU Emacs

GNU Emacs GNU Emacs GNU Emacs 2015 10 2 1 GNU Emacs 1 1.1....................................... 1 1.2....................................... 1 1.2.1..................................... 1 1.2.2.....................................

More information

リアルタイムシステム

リアルタイムシステム 1/38 ...4 (Dispatch Latency)...6...7...8...9...10 CPU...10...12...12...13...14...16 (SCHED_FIFO)...17 (SCHED_RR)...18 (FBS)...18...19...21...23...25...29...29...31...33...33 2/38 ...34...34...34...34...34...34...34

More information

1 $ cat aboutipa 2 IPA is a Japanese quasi-government 3 organization established in accor- 4 dance with The Law for Information 5 Processing Technolog

1 $ cat aboutipa 2 IPA is a Japanese quasi-government 3 organization established in accor- 4 dance with The Law for Information 5 Processing Technolog 1 $ cat aboutipa 2 IPA is a Japanese quasi-government 3 organization established in accor- 4 dance with The Law for Information 5 Processing Technology Promotion, 6 (Law No.90, May 22, 1979). 7 $./upper

More information

エラー処理・分割コンパイル・コマンドライン引数

エラー処理・分割コンパイル・コマンドライン引数 L10(2017-12-05 Tue) : Time-stamp: 2017-12-17 Sun 11:59 JST hig. recv/send http://hig3.net ( ) L10 (2017) 1 / 21 IP I swallow.math.ryukoku.ac.jp:13 = 133.83.83.6:13 = : IP ( = ) (well-known ports), :. :,.

More information

ohp08.dvi

ohp08.dvi 19 8 ( ) 2019.4.20 1 (linked list) ( ) next ( 1) (head) (tail) ( ) top head tail head data next 1: 2 (2) NULL nil ( ) NULL ( NULL ) ( 1 ) (double linked list ) ( 2) 3 (3) head cur tail head cur prev data

More information

untitled

untitled C -1 - -2 - concept lecture keywords FILE, fopen, fclose, fscanf, fprintf, EOF, r w a, typedef gifts.dat Yt JZK-3 Jizake tsumeawase 45 BSP-15 Body soap set 3 BT-2 Bath towel set 25 TEA-2 Koutya

More information

RTX830 取扱説明書

RTX830 取扱説明書 RTX830 JA 1 2 3 4 5 6 7 8 9 10 11 external-memory performance-test go 12 13 show config 14 15 16 17 18 19 20 save 21 22 23 24 25 26 27 save RTX830 BootROM Ver. 1.00 Copyright (c) 2017 Yamaha Corporation.

More information

MINI2440マニュアル

MINI2440マニュアル ARM Cortex-M3 STM32F103 (GCC TOPPERS/ASP ) http://www.nissin-tech.com info@nissin-tech.com 2009/10/15 copyright@2009 1 STM32F103...3 STM32...4...8 3.1...8 3.2...9 3.3...13 KEIL...19 4.1 KEIL...19 4.2...22

More information

NEC Storage series NAS Device

NEC Storage series NAS Device NEC Storage NV Series NAS Device Guide for Oracle Storage Compatibility Program Snapshot Technologies is-wp-04-001 Rev-1.00(J) Oct, 2004 NEC Solutions NEC Corporation. - 1 - Copyright 2004 NEC Corporation

More information

20 H8/3069LAN H. Fukura

20 H8/3069LAN H. Fukura 20 H8/3069LAN 1.1 2009 2 9 H. Fukura 1 1 2 Cygwin 2 2.1................... 3 2.2................. 3 3 h8300-hms 13 3.1................... 14 3.2......... 14 3.3............. 35 3.4.............. 38 i

More information

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM R01AN0724JU0170 Rev.1.70 MCU EEPROM RX MCU 1 RX MCU EEPROM VEE VEE API MCU MCU API RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 R01AN0724JU0170 Rev.1.70 Page 1 of 33 1.... 3 1.1... 3 1.2... 3

More information

Nios II 簡易シミュレーション

Nios II 簡易シミュレーション ver. 8.1 2009 年 3 月 1. はじめに この資料は 別資料である Nios II 簡易チュートリアル を終えた後 Nios II システムのデザインを ModelSim で RTL シミュレーションを行う場合の操作マニュアルです この資料では ModelSim-Altera を使用していますが ModelSim PE ModelSim SE でも同様にシミュレーションが可能です この資料においてのシミュレーション環境は

More information

Microsoft Word - C.....u.K...doc

Microsoft Word - C.....u.K...doc C uwêííôöðöõ Ð C ÔÖÐÖÕ ÐÊÉÌÊ C ÔÖÐÖÕÊ C ÔÖÐÖÕÊ Ç Ê Æ ~ if eíè ~ for ÒÑÒ ÌÆÊÉÉÊ ~ switch ÉeÍÈ ~ while ÒÑÒ ÊÍÍÔÖÐÖÕÊ ~ 1 C ÔÖÐÖÕ ÐÊÉÌÊ uê~ ÏÒÏÑ Ð ÓÏÖ CUI Ô ÑÊ ÏÒÏÑ ÔÖÐÖÕÎ d ÈÍÉÇÊ ÆÒ Ö ÒÐÑÒ ÊÔÎÏÖÎ d ÉÇÍÊ

More information

II 3 yacc (2) 2005 : Yacc 0 ~nakai/ipp2 1 C main main 1 NULL NULL for 2 (a) Yacc 2 (b) 2 3 y

II 3 yacc (2) 2005 : Yacc 0 ~nakai/ipp2 1 C main main 1 NULL NULL for 2 (a) Yacc 2 (b) 2 3 y II 3 yacc (2) 2005 : Yacc 0 ~nakai/ipp2 1 C 1 6 9 1 main main 1 NULL NULL 1 15 23 25 48 26 30 32 36 38 43 45 47 50 52 for 2 (a) 2 2 1 Yacc 2 (b) 2 3 yytext tmp2 ("") tmp2->next->word tmp2 yytext tmp2->next->word

More information

I117 7 School of Information Science, Japan Advanced Institute of Science and Technology

I117 7 School of Information Science, Japan Advanced Institute of Science and Technology I117 7 School of Information Science, Japan Advanced Institute of Science and Technology time time t long typedef long time_t; 1970/01/01 0:00:00 time t = time(null); Japan Advanced Institute of Science

More information

[user@linux tmp]$ tar xzvf utvpn-src-unix-v100-7092-beta-2010.06.25.tar.gz [user@linux tmp]$ cd utvpn-unix-v100-7092-beta [user@linux utvpn-unix-v100-7092-beta]$ ls License-ja.txt configure makefiles src

More information

BlueJ 2.0.1 BlueJ 2.0.x Michael Kölling Mærsk Institute University of Southern Denmark Toin University of Yokohama Alberto Palacios Pawlovsky 17 4 4 3 1 5 1.1 BlueJ.....................................

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

:30 12:00 I. I VI II. III. IV. a d V. VI

:30 12:00 I. I VI II. III. IV. a d V. VI 2017 2017 08 03 10:30 12:00 I. I VI II. III. IV. a d V. VI. 80 100 60 1 I. Backus-Naur BNF X [ S ] a S S ; X X X, S [, a, ], ; BNF X (parse tree) (1) [a;a] (2) [[a]] (3) [a;[a]] (4) [[a];a] : [a] X 2 222222

More information

lexex.dvi

lexex.dvi (2018, c ) http://istksckwanseiacjp/ ishiura/cpl/ 4 41 1 mini-c lexc,, 2 testlexc, lexc mini-c 1 ( ) mini-c ( ) (int, char, if, else, while, return 6 ) ( ) (+, -, *, /, %, &, =, ==,!=, >, >=,

More information