thesis_main3.dvi

Size: px
Start display at page:

Download "thesis_main3.dvi"

Transcription

1 FPGA Udorn Lerdtanaseangtham

2 Ullmann Refinement procedure G α G β G α G β Ullmann G α G β G α G β FPGA i

3 A 73 A A.2 I/O A A A A ii

4 [] NP [2] Ullmann[] refinement procedure ( Ullmann ) [6] FPGA (Field Programmable Gate Array) Ullmann Ullmann [6]. [6] FPGA 33 MHz Ullmann ( ) 333 MHz PentiumII 5 33 MHz [6] () (2) OPERL [4] FPGA 5 2 Ullmann 2. [6] G p V q E G =(V, E) V v i ( i p) E e k ( k q) {v i,v j } (i j). {v i,v i } {v i,v j } {v j,v i } E G A A =[a ij ] p p a ij {, {i, j} Eor{j, i} E a ij =, {i, j} E and {j, i} E ( i, j p) 2 G α =(V α,e α ) G β =(V β,e β ) (p α p β ). G β G α V α V β, E α E β 2 G α G β G α 2 G β 2 G α G β (isomorphic) V V β,e E β f G α

5 G β i, j({v αi,v αj } E α {f(v αi ), f(v αj )} E) f : V α V () f f V α v α,v α2,,v αpα v α,v α2,,v αpα, 2,,p α p α d v αd f ( d p α ). p K p G ed K p q ed = q p(p ) 2 (2) G (p ) q p G (3) ed 2 p (3) (p ) (tree) p (p ) ( ) (3) 2.2 Ullmann Ullmann [] 2 refinement procedure refinement procedure 2.2. Refinement procedure 2. () f f pβ P pα p α p β refinement procedure Refinement procedure G α,g β A =[a ij ],B =[b ij ] M =[m ij ] p α p β m ij = v αi v βj M deg(v) v m ij = {, deg(v βj ) deg(v αi ), Refinement procedure i, j (4) m ij = M x ((a ix =) ( y) (m xy b yj = ) ) (4) x p α y p β Refinement procedure B j B j M i M i elim refinement procedure 2

6 deg i v αi sc p β := & NOT do-while,while,if,break,return C Refinement procedure M(M i ) v αi v βj FAIL do { elim := ; i := ; while(i p α) { j := ; sc := 2 p β ; while(j p β ) { if((m i &sc ){ h :=; while(h deg i ) { x := lst h ; if((m i &B j ){ M i := M i &NOTsc; elim := elim +; h := h +; break; } h := h +; } } sc := sc/2; j := j +; } if(m i = ) return(fail); i := i +; } } while(elim ); return(succeed); : Refinement procedure [] (depth-first search) 2 M M M := M M M M d := M M M d M H i = k i v αi v βk ( i p α ).F i = v βi ( i p α ) make lst i and deg i G α refine M refinement procedure Ullmann refinement procedure refinement procedure O(p α p 2 β ) [7] p α =5,p β =5 OR2CxxA FPGA [6] refinement procedure [6] 3

7 Step. M := M ; d := ; H := ; for all i :=,..., p α set F i := ; make lst i and deg i for all i :=,..., p α; refine M; if exit FAIL then go to step 7; Step 2. If there is no value of j such that m dj = and f j = then go to step 7; M d := M; k := ; Step 3. k := k +; if m dk =orf k = then go to step 3; for all j k set m dj := ; refine M; if exit FAIL then go to step 5; Step 4. if d<p α then go to step 6 else give output to indicate that an isomorphism has been found; Step 5. If there is no j>ksuch that M d (d, j) = and f j = then go to step 7; M := M d ; go to step 3; Step 6. H d := k; F k := ; d := d +; go to step 2; Step 7. If d = then terminate algorithm; F j :=, if j H i for all i<d; d := d ; M := M d ; k := H d ; go to step 5; 2: [] 2.3. [6] d G α G β ( ) ( ) 3 etsa edge list table starting address eta, etb edge list table B G β while,if,return C n := etsa(d); i := ; a := eta(n); b := etb(n); while (a orb ){ if (B(p(a) p(b)) = ) return(ng); i := i +; a := eta(n + i); b := etb(n + i); } return (OK); 3: [6] f e αi e βj ( ) e βj G β E β ( ) 4 ( STV algorithm ) depth vb(i)( i p α ) ( edge existence check module ) exist result a b depth d( d p α ) vb(i) G α v αi ( i p α ) G β v βj j Edge list table p α + q α p α 2 (,) eta, etb Edge list table etsa(edge list table starting address) p α, d edge list table 4

8 Mux a vb vb2 vb3... vb(pa) Mux b STV algorithm depth edge list table starting address address data n edge list table address data,2, 2,2 3, 4,3 5 2,3 6, edge existence check module data data2 start address address result control unit exist edge existence check algorithm 4: [6] edge check module a B Pb Ba exist b edge existence check module 5: [6] 5 {v βa v βb } B G β B SRAM p β p β [6] Ullmann 6 M 2.2. d M d M d p β used used(i) := v βi p p α p(i) v αi 7 priority encoder 2 DEC control [6]. 5

9 M := M ; IM := M ; d := ; used := ; while () { current := M d & used; while (current ){ current(i) = i ; p(d) := i; current(i) := ;flag := ; ; if ( = OK) { if (d = p α ) ; else {used(i) :=; M d := current; d := d +; flag := ; break;} } } if (flag) continue; if (d = ) terminate algorithm; M d := IM d ; d := d ; used(p(d)) := ; } 6: [6] control priority encoder IM M and current DEC P edge check module used DEC DEC =? d +/- = pa? 7: [6] 3 Ullmann ( Ullmann ) 3. [6] 6

10 3.. [6] (3) refinement procedure M refinement procedure FAIL exit M G α G α refinement procedure deg(v αi ) > deg(v βj ) ( ) (3) [6] ed α =.2 (A) (B) (A),(B) (A),(B) (A) G β G α G α G β (B) G β G α G α G β 3.2 G α G β G α G β 7

11 3.2. G α G β G α,g β ed α,ed β G α,g β p α,p β G α,g β q α,q β p α,p β,q α,q β p α p β q α q β p α p β p β p α (5) G α G β q β q α q β q α (6) (3) p α,p β p α p β 2 ed α (7) 2 ed β (8) (2) q α = p α(p α ) 2 q β = p β(p β ) 2 ed α (9) ed β () q α,q β (9),() q α,q β ( 6 ) q α,q β q α,q β round() ( ) pα (p α ) q α = round ed α () 2 ( ) pβ (p β ) q β = round ed β (2) a p, q G (i) p (ii) q (p ) q G p q (5),(6),(7) (2) C G [c ij ] if,while,for,break,return C G α G β G α G β 9 A G α [a ij ]( i, j p α ) B G β [b kl ] ( k, l p β ) G α G β G α [a ij ] G β [b ij ] G β = G α (p β p α ) (q β q α ) G β 8

12 gen graph(c, p, q) { while(){ for all i, j :=,...,p, set c ij := ; for(k := ; k (p ); k ++){ i, j (i j, i, j p); C[i][j] :=; } if(c = ) break; } for(k := ; k q (p ); k++) { while() { m, n (m n, m, n p); if(c mn ){ c mn := ; break; } } } return C; } 8: gen graph p - p A q q - q B p p 9: G α G β (p β p α ) G β (p β p α ) (3) q β q α p β p α (3) G α G β p α,p β,q α,q β (5),(6),(7) (3) if,while,do-while,for,break C return X, Y X Y G α p α, q α G β p β, q β G α A G β B =[b ij ] G α G β (q β q α ) G β G β G α G β G α G β G α G β G α G β G α G β G α G β Ga into Gb() 9

13 Ga into Gb(p α,q α,p β,q β ) { gen graph(a, p α,q α ); while() { for all i, j :=,...,p β, set b ij := ; A B for(k := q α ; k q β ; k ++){ while() { i, j (i j, i, j p β ); if(b ij ){ b ij := ; break; } } } if ( B = ) break; } return A, B; } : G α G β Ga into Gb G α p α, q α G β p β, q β G α G β A, B G β G α G α G β G α G β G α G β Ga notin Gb(p α,q α,p β,q β ) { while() { gen graph(a, p α,q α ); gen graph(b, p β,q β ); if( A B ) break; } return A, B; } : G α G β Ga notin Gb 3.3 [6] 33MHz OPERL Ullmann FreeBSD-3. C 999

14 C Intel Pentium-II 4MHz Intel 44BX AGPset 52KB(Pentium-II ) SDRAM 256MB(6ns) FreeBSD-3. gcc ver : Ullmann 3.4 Ullmann G α,g β ed α,ed β G α,g β p α,p β G α G β G α G β ed α p α ed β p β (I) G α G β p α ed α p β ed β (5),(6),(7) (3) ed α,ed β p α,p β (5),(6),(7) (3) : (ed α,ed β )=(.2,.2) (5),(6) p α 2.2 =, p β 2.2 = p α = () p β (7) q α = round ( ) =9 (8) q β 9 ( (2) ) p α = (3) p β p β =,, 2,... p α = () p β (7) q α = round ( 2.2 ) = (8) q β ( (2) ) p α = (3) p β p β =, 2, 3,... 2: (ed α,ed β )=(.4,.2) (5),(6) p α 2.4 =5,p β 2.2 = p α =9

15 () p β (7) q α = round ( ) =4 (8) q β 9 (2) q β 4 p α,q α (3) p β 9 q β 4 q β p β +5 p β q β 5 p β = q β = round ( ) =9 p β = q β = round ( 2.2 ) = p β =2 q β = round ( ) =4 p β =3 q β = round ( ) =6 p β =4 q β = round ( ) =8 p β =5 q β = round ( ) =2 p β =6 q β = round ( ) =24. p α =9 (3) p β p β =5, 6, 7,... (5),(6),(7) (3) p α ed α p β ed β p α,p β 5 ed α,ed β p α,p β ed α,ed β ed (dense ) ed α ed β 4 (a) ed α,ed β : (ed α,ed β )=(.2,.2) (b) ed α,ed β : (ed α,ed β )=(.2,.4) (c) ed α,ed β : (ed α,ed β )=(.4,.2) (d) ed α,ed β : (ed α,ed β )=(.4,.4) 4 p α p β 2,3,4,5 2: G α G β (ed α,ed β )=(.2,.2) p α p β p β p α ed α ed β q α q β (a) (d) ed α,ed β ed α,ed β ed α ed β 2,3,4,5 ed α ed β 2

16 3: G α G β (ed α,ed β )=(.2,.4) p α p β p β p α : G α G β (ed α,ed β )=(.4,.2) p α p β p β p α ed α,ed β ed α,ed β G α,g β 5 (II) G α G β G α G β p α p β (I) G α G β (3) G α G β (5),(6),(7) (2) p α,q α G α G β G α G α G β 7,8,9, p α,p β G α G β 7,8,9, ed α ed β q α q β G α G β G α G β 3

17 5: G α G β (ed α,ed β )=(.4,.4) p α p β p β p α : G α G β ed α,ed β Given Average s.d. ed α ed β ed av. α ed av. β ed s.d. α ed s.d. β Ullmann Ullmann FreeBSD getrusage() [] step step7 getrusage() G α,g β Ullmann C OPERL 6.5MHz OPERL 2,3 [ ] G α,g β 4

18 7: G α G β (ed α,ed β )=(.2,.2) p α p β p β p α : G α G β (ed α,ed β )=(.2,.4) p α p β p β p α : G α G β (ed α,ed β )=(.4,.2) p α p β p β p α

19 : G α G β (ed α,ed β )=(.4,.4) p α p β p β p α : G α G β ed α,ed β Given Average s.d. ed α ed β ed av. α ed av. β ed s.d. α ed s.d. β G α G β (ed α,ed β )=(.2,.2), (.2,.4), (.4,.2), (.4,.4) 4,5,6,7 (a) (b) Ullmann (c) Ullmann (a),(b),(c) p α x (a),(b) y ( : ) (c) y ( : ) (c) Ullmann (ed α,ed β )=(.2,.4) Ullmann (ed α,ed β )=(.4,.4) Ullmann Ullmann (ed α,ed β )=(.2,.2) Ullmann G α G β (ed α,ed β )=(.2,.2), (.2,.4), (.4,.2), (.4,.4) 8,9,2,2 (ed α,ed β )= (.2,.2), (.4,.2), (.4,.4) Ullmann refinement procedure (Step ) Ullmann 6

20 M := M ; IM := M ; d := ; used := ; [ ] while () { current := M d & used; [] while (current ){ current(i) = i ; [ ] p(d) :=i; current(i) :=;flag := ; [ ] ; if ( =OK [ ]) { if (d = p α []) ; [] else {used(i) :=; M d := current; d := d +; flag := ; break; [ ] } } } if (flag) continue; if (d = []) terminate algorithm; [] M d := IM d ; d := d ; [] used(p(d)) := ; [] } 2: n := etsa(d); i := ; a := eta(n); b := etb(n); while (a orb ){ [] if (B(p(a) p(b)) = []) return(ng); [] i := i +; a := eta(n + i); b := etb(n + i); [ ] } return (OK); [] 3: (ed α,ed β )=(.2,.4) Ullmann 7

21 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. run time(sec.) (a) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. run time(sec.) (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) (c) Ullmann 4: (ed α,ed β )=(.98,.9758), (s.d. α, s.d. β )=(.267,.28) (G α G β ) 8

22 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.) (a) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.) (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) (c) Ullmann 5: (ed α,ed β )=(.98,.3976),(s.d. α, s.d. β )=(.267,.23) (G α G β ) 9

23 . p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. run time(sec.) (a). p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.) (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) (c) Ullmann 6: (ed α,ed β )=(.39436,.9772),(s.d. α, s.d. β )=(.783,.27) (G α G β ) 2

24 run time(sec.)... p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5. e (a). p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 run time(sec.) (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 ratio(times) (c) Ullmann 7: (ed α,ed β )=(.39466,.396),(s.d. α, s.d. β )=(.665,.47) (G α G β ) 2

25 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.) (a) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. run time(sec.) (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. ratio(times) (c) Ullmann 8: (ed α,ed β )=(.98,.9758),(s.d. α, s.d. β )=(.267,.28) (G α G β ) 22

26 p(beta)=2 p(beta)= p(beta)= run time(sec.). 2 (a) p(beta)=2 p(beta)= p(beta)= run time(sec.). 2 (b) Ullmann p(beta)=2 p(beta)= p(beta)= ratio(times) 2 (c) Ullmann 9: (ed α,ed β )=(.9899,.39798),(s.d. α, s.d. β )=(.43,.286) (G α G β ) 23

27 . p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. run time(sec.). e (a).. p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.). e-5 e (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. ratio(times) (c) Ullmann 2: (ed α,ed β )=(.39236,.9788),(s.d. α, s.d. β )=(.764,.274) (G α G β ) 24

28 run time(sec.)... p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5. e (a) run time(sec.)... p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5. e (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 ratio(times) (c) Ullmann 2: (ed α,ed β )=(.39436,.39624),(s.d. α, s.d. β )=(.66,.468) (G α G β ) 25

29 4 FPGA Lucent ORCxxA FPGA[5] OPERL [4] OPERL 2 FPGA PCI FPGA, USER FPGA ( 22) PCI FPGA PCI USER FPGA SROM USER FPGA (bit stream) USER FPGA FPGA Lucent OR2C5A FPGA USER FPGA OR2C5A 9,2 44,2 [5] OR2C FPGA PFU(Programable Function Unit) OR2C5A 4PFU OR2C5A OPERL PCI 2 PCI OPERL ( A ) 22: OPERL [4] 2: C AMD K6-III 4 MHz Intel 43HX 256KB(K6-III ) DRAM 64MB FreeBSD-2.2. gcc ver

30 USER FPGA (Unit) PCI (Unit) 23: 2 Unit, Unit 2 2 G α G β G α ( ) G β G β 2 PCI A 4..3 G α A G α p α 27

31 G β B M,IM Edge list table (eta,etb) Edge list table starting address (etsa) 4..4 G α,g β 5 (p α,p β ) = (5, 5) 2 (,) IM,M,B VHDL Lucent OR2CxxA FPGA RAM 4 FPGA 4 RAM p α G α q α G α p β G β ETS(A),ETS(B) 4 Edge list Table (data) 2 (data2) ETS(A),ETS(B) log(p α + q α ) log(p β ) 6x4 RAM RAM ETS(A),ETS(B) MUX ETS(A) 25 ETS(B) ETS(A) 28

32 From Main Control Unit From Priority Encoder From External Circuit From Main Control Unit To Main Control Unit PWrite PSel d memsel reset sub start sub log( p ) ETSAWrite MBWrite ETS_AWrite ETS_BWrite log( p ) External Input Adr 4 log( p ) External Input4 a p(a ) adr in data in P adr in2 adr in data in data out data out2 ETSA p(b) result end sub log( p ) log( p ) data out log( p ) start address External Input Adr 3 log( p ) log(p + q ) log(p + q ) External Input Adr 5 log(p + q ) adr Sub Control Unit External Input3 log( p ) External Input5A External Input5B adr in data in adr in data in adr in data in B ETS(A) ETS(B) data out data out data out a b p Edge Check exist 24: 29

33 address Psel 3 E WPE ETS(A) # RCF6X4Z TRI data out Psel WPE ETS(A) #7 RCF6X4Z TRI data out 4 a d 4 25: ETS(A) ETSA 4 Edge list table starting address ETSA log(p β ) log(p α + q α ) 6x4 RAM P P( 7) 4 vb(i)( i p α ) (MUX) P log(p β ) log(p β ) log pα [6] 4 p α log(p α ) log 4 p α p α 4 i 2 2 log(p log pα log pα α) ( 2 2 ) i= Lucent OR2CxxA [8] 4 (RD4P3D).5 4 (MUX4).25 2 (MUX2).25 p α =5 8 PFU 6x2 (DCE6X2) p α =5 2 PFU B Edge Check 5 B log(p β ) log(p β ) p β Edge Check p β, log(p β ) B 6x4 RAM Edge Check G β 2 ( ) B Edge Check 26 B B 5 2 B 4, 5 B B Sub Control Unit 3

34 MBwrite B # RCF6X4Z data out B_DO[3:] P_B_out[3:2] 2 WPE TRI 4 exist WPE B #3 RCF6X4Z TRI data out B_DO[5:2] P_B_out[:] 26: B Edge Check 27 reset sub sub control unit (4.2.2 ) reset sub ( ) sub control unit start sub (4.2.2 ) start sub start address Edge list table ETSA log(p α + q α ) exist {v βa,v βb } Edge Check adr Edge list table start address adr log(p α + q α ) result result result OK( ) d v αd e α G β e β result NG( ) G β e β end sub end sub end sub 3

35 reset sub= start sub= INIT i= adr=start address i=i+ adr=start address + i INCREMENT start sub= reset sub= CHECK ETS (a = ) and (b=)? True False exist= CHECK B s EDGE RESULT OK B(p(a),p(b)) result= end sub= reset sub= RESULT NG result= end sub= exist= reset sub= 27: INIT i adr start address start sub CHECK ETS Edge list table (data) 2 (data2) RESULT OK CHECK B s EDGE CHECK B s EDGE CHECK EDGE exist exist INCREMENT RESULT NG INCREMENT data data2 i adr start address + i CHECK ETS RESULT OK result end sub reset sub RESULT NG result end sub reset sub

36 Reset main From External From External Circuit From External Circuit To External Circuit MBWrite memsel External Input Adr 2 p log( ) External Input2 p Reset main PaWrite External Input p adr in data in IM =? =? p data out d found end main check EqOne check EqPa dwrite dout log( ) Main Control Unit Next d p adr in data in reset sub start sub PSel check current zero currentwrite usedsel currentsel usedwrite M data out p p p p used p Priority Encoder p current Start main Decode& Set Decode& Set Decode& Set Circuit MSel MWrite p PWrite PrioWrite =? log( p ) log( p ) log( p ) i a p(a ) adr in data in log( p ) P adr in2 To Sub Control Unit data out data out2 p(b) b a d 28: 33

37 Start main= reset main= d =? CHECK COMPLETE dwrite= Next d= usedwrite= usedsel= currentwrite= currentsel= PSel= PrioWrite= reset sub= start sub= found= end main= check EqOne= True False end main= INIT MAIN reset main= Start main= END MAIN check EqOne= CHECK CURRENT False currentsel= currentwrite= MWrite= MSel= dwrite= usedwrite= current? reset sub= found= SET CURRENT MWrite= Msel= PSel= PWrite= dwrite= Next d = dout - True SET REST PART found= NEXT DEPTH MWrite= MSel= dwrite= usedwrite= Next d = dout + MWrite= Msel= PSel= PWrite= dwrite= usedwrite= usedsel= FOUND result= end sub= check EqPa= False check EqPa= LOAD P NG True reset sub= currentsel= currentwrite= PSel= PWrite= PrioWrite= d = p? SET P AND CURRENT start sub= CHECHK DEPTH EDGE CHECK ALGORITHM currentsel= currentwrite= PSel= PWrite= PrioWrite= Call EDGE EXISTENCE CHECK ALGORITHM OK result= end sub= 29: M IM 7 M IM M IM log(p β ) log(p β ) 6x4 RAM current 7 current current p β current 4 used 7 used used p β used 4 d 7 d d log(p α ) d 4 p α p α p α log(p α ) p α 4 34

38 Priority Encoder current G α G β 7 Priority encoder Priority encoder p β log(p β ) i Priority Encoder Priority Encoder current i log(p β ) i 4 Decode & Set p β n 2 2 input input2 input p β input2 log(p β ) input p β input2 n p β input ( ) 2, input2 () 2 ( ) 2 Decode & Set p β n 2 2 input input2 input p β input2 log(p β ) input p β input2 n p β input ( ) 2, input2 () 2 ( ) 2 =? d( d) log(p α ) d 4 =p α? d( d) p α log(p α ) d p α 4 Main Control Unit 29 Reset main ( A ) Reset main Reset main Main Control Unit (d, current, used,i) 35

39 Start main ( A ) Reset main Start main check EqOne d =? check EqPa d p α =p α? check current zero d v βj =? dout d dout log(p α ) reset sub start sub PSel P PSel d Edge list table PWrite P PWrite P PrioWrite i PrioWrite i Priority Encoder currentsel current currentsel AND (M d & used ) Decode & Set (current(i):= ) ( 6 ) currentwrite current currentwrite usedsel used usedsel Decode & Set (used(p(d)):= ) Decode & Set (used(i):= ) ( 6 ) usedwrite used usedwrite 36

40 Msel M Msel IM (M d :=IM d ) current (M d :=current ) ( 6 ) MWrite M MWrite Next d d Next d dout dwrite d dwrite Next d found found end main end main INIT MAIN Start main= Start main= Reset main= SET CURRENT d (dwrite=, Next d= x). Decode & Set (used(i):= ) used (usedsel=) used (usedwrite=) Decode & Set (current(i):= ) current (currentsel=) current (currentwrite=) d P (PSel=) i (PrioWrite=). (reset sub=) (start sub=) (found=) (end main=) SET CURRENT current AND (M d & used ) AND currentsel currentwrite M MSel M d dwrite used SET CURRENT CHECK CURRENT current (reset sub=) found (found = ) =? check current zero CHECK COMPPLETE SET P AND CURRENT 37

41 SET P AND CURRENT d v αd i P current i i P Psel Pwrite current i Decode & Set current i i (PrioWrite=) EDGE CHECK ALGORITHM EDGE CHECK ALGORITHM P ETS(A) Psel Start sub end sub result end sub result= end sub= CHECK DEPTH result= end sub= CHECK CURRENT CHECK DEPTH d p α =p α? check EqPa FOUND NEXT DEPT FOUND found CHECK CURRENT NEXT DEPTH d+ v βi (=) used i current M d d used i usedsel usedwrite current M d M MSel Mwrite d next d d dwrite SET CURRENT CHECK COMPLETE d =? check EqOne END MAIN SET REST PART SET REST PART d d-, IM d M d d IM d M MSel Mwrite d Next d d dwrite LOAD P LOAD P v αd v βi P d used i P d Psel PWrite used i decode & set decode & set used usedsel CHECK CURRENT END MAIN end main reset main INIT MAIN 38

42 4.3 OPERL 4.3. VHDL Lucent OR2CxxA ( RAM ) VHDL (VHDL ) Synopsys Design Compiler VHDL OR2C FPGA 3 EDIF 3: Ultra SPARC 67MHz 28MB Solaris 2.5. ORCA FPGA ( ) EDIF ncd ORCA FPGA Lucent ORCA Foundry (bit stream) USER FPGA 4: Intel Pentium II 45 MHz Intel 44BX 52KB(CPU ) SDRAM 256MB MS-Windows NT Workstation OR2C FPGA 5 PFU 6 4FPU OR2C5A 2 39

43 PCI FPGA PCI 5: PFU (MHz) Unit Unit PFU 6 PFU RAM OR2C FPGA SRAM FPGA FPGA 5 PFU 6 PFU PFU PFU 6 6 PFU 6: PFU PFU RAM( ) ( A ) 4 PFU 7 n (n n 4) 2,, 3,,,2, 4,,,2,3 3 PFU 2 PFU 6 6 OR2C FPGA 5 OR2C5A 2 Ullmann, 2 2 4

44 7: PFU 2C5A 2C26A 2C4A PFU PFU (%) (PFU :83) 2 PFU (%) (PFU :343) 3 PFU (%) (PFU :499) 4 PFU (%) (PFU :664) Ullmann ( ) ( ) 3 j j T2 T 5..2 G α G β (ed α,ed β )=(.2,.2), (.2,.4), (.4,.2), (.4,.4) Ullmann 3, 32, 33, 34 ( ) 5% G α G β (ed α,ed β )=(.2,.2), (.2,.4), (.4,.2), (.4,.4) Ullmann 35, 36, 37, 38 ( ) 5% G α,g β 2 Ullmann 5.2. G α,g β p α,p β,ed α,ed β 2 p α,p β 5, (ed α,ed β )=(.2,.2), (.2,.4), (.4,.2), (.4,.4) 4

45 #define LOOP for (p α p β ) { for(j=;j<2;j++) { T: getrusage() for( LOOP) { if(j) { ; ; } } T2: getrusage() temptime[j]= j ; } used time = (temptime[]-temptime[])/loop; used time ; } 3: Ullmann 39 (ed α,ed β )=(.2,.2) 4 (ed α,ed β )=(.2,.4) 4 (ed α,ed β )=(.4,.2) 42 (ed α,ed β )=(.4,.4) ( 39 42) (b) (c) PCI OPERL Unit Unit inl() [4] 43 (ed α,ed β )=(.2,.2) 44 (ed α,ed β )=(.2,.4) 45 (ed α,ed β )=(.4,.2) 46 (ed α,ed β )=(.4,.4) 2 (ed α,ed β )=(.2,.4), (.4,.4) 4 (ed α,ed β )=(.2,.2), (.4,.2) Ullmann 42

46 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. run time(sec.) (a) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. run time(sec.) (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) (c) Ullmann 3: (ed α,ed β )=(.98,.9758), (s.d. α, s.d. β )=(.267,.28) (G α G β ) 43

47 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.) (a) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.) (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) (c) Ullmann 32: (ed α,ed β )=(.98,.3976),(s.d. α, s.d. β )=(.267,.23) (G α G β ) 44

48 . p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. run time(sec.) (a). p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.) (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) (c) Ullmann 33: (ed α,ed β )=(.39436,.9772),(s.d. α, s.d. β )=(.783,.27) (G α G β ) 45

49 run time(sec.)... p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5. e (a). p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 run time(sec.) (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 ratio(times) (c) Ullmann 34: (ed α,ed β )=(.39466,.396),(s.d. α, s.d. β )=(.665,.47) (G α G β ) 46

50 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.) (a) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. run time(sec.) (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. ratio(times) (c) Ullmann 35: (ed α,ed β )=(.98,.9758),(s.d. α, s.d. β )=(.267,.28) (G α G β ) 47

51 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.). (a) p(beta)=2 p(beta)= p(beta)= run time(sec.). 2 (b) Ullmann p(beta)=2 p(beta)= p(beta)= ratio(times) 2 (c) Ullmann 36: (ed α,ed β )=(.98,.3976),(s.d. α, s.d. β )=(.267,.23) (G α G β ) 48

52 . p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.) (a).. p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= run time(sec.). e-5 e (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)=. ratio(times) (c) Ullmann 37: (ed α,ed β )=(.39436,.9772),(s.d. α, s.d. β )=(.783,.27) (G α G β ) 49

53 run time(sec.)... p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5. e (a) run time(sec.)... p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5. e (b) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 ratio(times) (c) Ullmann 38: (ed α,ed β )=(.39466,.396),(s.d. α, s.d. β )=(.665,.47) (G α G β ) 5

54 run time(sec.).. p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (a) Ullmann number of patterns (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (c) 39: (ed α,ed β )=(.98,.9758), (s.d. α, s.d. β )=(.267,.28) (G α,g β ) 5

55 run time(sec.) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns (a) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (b) number of patterns p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (c) 4: (ed α,ed β )=(.98,.3976), (s.d. α, s.d. β )=(.267,.23) (G α,g β ) 52

56 run time(sec.)... p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns e (a) Ullmann (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (c) 4: (ed α,ed β )=(.39345,.9772), (s.d. α, s.d. β )=(.756,.278) (G α,g β ) 53

57 run time(sec.)... p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5. number of patterns e (a) Ullmann (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 number of patterns p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 (c) 42: (ed α,ed β )=(.39474,.396), (s.d. α, s.d. β )=(.665,.47) (G α,g β ) 54

58 ratio(times). p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (a) 2 Ullmann number of patterns (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (c) 43: (ed α,ed β )=(.98,.9758), (s.d. α, s.d. β )=(.267,.28) (G α,g β ) 55

59 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) number of patterns (a) 2 Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (b) number of patterns p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (c) 44: (ed α,ed β )=(.98,.3976), (s.d. α, s.d. β )=(.267,.23) (G α,g β ) 56

60 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) number of patterns (a) 2 Ullmann (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (c) 45: (ed α,ed β )=(.39345,.9772), (s.d. α, s.d. β )=(.756,.278) (G α,g β ) 57

61 ratio(times) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 number of patterns (a) 2 Ullmann (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 number of patterns p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 (c) 46: (ed α,ed β )=(.39474,.396), (s.d. α, s.d. β )=(.665,.47) (G α,g β ) 58

62 p α,p β,ed α,ed β p α,p β,ed α,ed β Ullmann.3 ( ).3 47 (ed α,ed β )=(.2,.2) 48 (ed α,ed β )=(.2,.4) 49 (ed α,ed β )=(.4,.2) 5 (ed α,ed β )=(.4,.4) Ullmann ( ) 5 (ed α,ed β )=(.2,.2) 52 (ed α,ed β )= (.2,.4) 53 (ed α,ed β )=(.4,.2) 54 (ed α,ed β )=(.4,.4) 2 ( 52,54 ) p α,p β,ed α,ed β K K K K K=2 55 (ed α,ed β )=(.2,.2) 56 (ed α,ed β )=(.2,.4) 57 (ed α,ed β )=(.4,.2) 58 (ed α,ed β )=(.4,.4) 55,57,

63 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) (a) Ullmann number of patterns (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns (c) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= 47: (ed α,ed β )=(.98,.9758), (s.d. α, s.d. β )=(.267,.28) 6

64 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) number of patterns (a) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (b) number of patterns p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (c) 48: (ed α,ed β )=(.98,.3976), (s.d. α, s.d. β )=(.267,.23) 6

65 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) number of patterns (a) Ullmann (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns (c) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= 49: (ed α,ed β )=(.39345,.9772), (s.d. α, s.d. β )=(.756,.278) 62

66 ratio(times) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 number of patterns (a) Ullmann (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 number of patterns (c) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 5: (ed α,ed β )=(.39474,.396), (s.d. α, s.d. β )=(.665,.47) 63

67 ratio(times). p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (a) Ullmann number of patterns (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns (c) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= 5: (ed α,ed β )=(.98,.9758), (s.d. α, s.d. β )=(.267,.28) 64

68 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns ratio(times) (a) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (b) number of patterns p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (c) 52: (ed α,ed β )=(.98,.3976), (s.d. α, s.d. β )=(.267,.23) 65

69 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) number of patterns (a) Ullmann (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns (c) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= 53: (ed α,ed β )=(.39345,.9772), (s.d. α, s.d. β )=(.756,.278) 66

70 ratio(times) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 number of patterns (a) Ullmann (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 number of patterns (c) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 54: (ed α,ed β )=(.39474,.396), (s.d. α, s.d. β )=(.665,.47) 67

71 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) (a) Ullmann number of patterns (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns (c) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= 55: (ed α,ed β )=(.98,.9758), (s.d. α, s.d. β )=(.267,.28) 68

72 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) number of patterns (a) Ullmann p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (b) number of patterns p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= (c) 56: (ed α,ed β )=(.98,.3976), (s.d. α, s.d. β )=(.267,.23) 69

73 p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= ratio(times) number of patterns (a) Ullmann (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= number of patterns (c) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= 57: (ed α,ed β )=(.39345,.9772), (s.d. α, s.d. β )=(.756,.278) 7

74 ratio(times) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 number of patterns (a) Ullmann (b) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 number of patterns (c) p(beta)=5 p(beta)=4 p(beta)=3 p(beta)=2 p(beta)= p(beta)= p(beta)=9 p(beta)=8 p(beta)=7 p(beta)=6 p(beta)=5 58: (ed α,ed β )=(.39474,.396), (s.d. α, s.d. β )=(.665,.47) 7

75 6 OPERL OR2C5 FPGA (p α,p β )= (5, 5) OR2C5A 2 6.5MHz 2 Ullmann (Pentium II 4MHz ) 4 FPGA OPERL [] J. R. Ullmann: An Algorithm for Subgraph Isomorphism, Journal of the Association for Computing Machinery, Vol. 23, No., pp. 3-42, 976. [2] Michael R. Garey, David S. Johnson: Computers and Intractability: A Guide to the Theory of NP- Completeness, W. H. Freeman, San Francisco, 979. [3] Duncan A. Buell et al.: Splash 2: FPGAs in a Custom Computing Machine, IEEE Computer Society Press, Los Alamitos, 996. [4] : PCI CPSY96-97 pp [5] : ORCA TM OR2CxxA OR2TxxA 997,,, 997. [6], : FPGA, 999 ( A-3-2), [7] : Ullmann 2, 2. [8] Lucent Technologies Inc.: Lucent Techologies ORCA TM Foundry Library Manual Version 9.35, Lucent Technologies Inc., U.S.A., 999. [9] Lucent Technologies Inc.: ORCA TM FPGA PCI, Lucent Technologies Inc., U.S.A., 996. [] : C

76 A OPERL [4] OPERL A. 256 OPERL I/O IM,M,B,ETSA,ETS(A),ETS(B) OPERL (OPERL ) OPERL I/O M [4] [4] I/O IM,M,B,ETSA,ETS(A),ETS(B) 8 8: 2 p α,p β 5 ( ) ( ) 6 4 ( ) M/IM B ETSA ETS(A) ETS(B) p α,p β 5 28 Reset main, Start main p α (count unit) (carry) (found) (end unit)

77 A.2 I/O A I/O 59 I/O h RESERVED = s ETSA F h h M/IM B ETS(A) s address F h h ETS(A) #7 #6 #5 #4 #3 #2 # # ETS(B) s address ETS(A) s address 27 F h h ETS(B) #7 #6 #5 #4 #3 #2 # # ETS(B) s address 27 F h 59: I/O ( 6 ) ETSA (Reset unit, Start unit) p α (unit en) (reset system) Fh 7 ETSA h h ETSA Fh ETSA 5 h 8 Reset unit h 8 Start unit 2h 8 p α 3h 9 2 unit en unit en () 2 () 2 3h 8 reset system reset system Fh

78 Reset_unit Start_unit h h RESERVED=s RESERVED=s 2 h RESERVED=s P 3 h ETSA RESERVED=s F h use3_8 reset_system use7_ unit_en[3:] 6: Fh M/IM B 5 B h Fh B M IM h Fh M/IM ETS(A) ETS(A) x4 ETS(A) 8 6x x4 3 # # ETS(A) 5 # 6x4 4 7 # # ETS(A) 6 3 # 2 6x4 75

79 8 #2 #2 ETS(A) #2 3 6x4 2 5 #3 #3 ETS(A) #3 4 6x4 6 9 #4 #4 ETS(A) #4 5 6x #5 #5 ETS(A) 8 95 #5 6 6x #6 #6 ETS(A) 96 #6 7 6x #7 #7 ETS(A) 2 27 #7 8 6x4 4 4 ETS(B) ETS(B) ETS(A) ETS(B) ETS(A) A.2.2 OPERL I/O FFh 4 I/O I/O 6 6 I/O (addr) addr Fh 6 7 (grp) grp grp 2 grp 3 grp Eh BIOS ioctl 5 E grp addr Control or ETSA M/IM, B ETS(A) ETS(B) 6: I/O A I/O 62 2 h end unit h found 76

80 h 2 carry h 3 end unit h 4 found h 5 carry h 6 8 count unit h 9 3 count unit count_unit count_unit carry found end_unit h end_unit found carry RESERVED = s FF h 62: I/O ( 63 ) h end main h found h 2 over flow h 6 3 count found h 2 2h 3 3h A

81 found_count over_flow found end_unit RESERVED = s h RESERVED = s 4 h RESERVED = s 8 h RESERVED = s C h RESERVED = s FF h 63: I/O 4 65 datvldrr datvldrr clk d2 sel unit en ( ) PCI (33MHz) (6.5MHz). XXX c2 (XXX ) PCI ( ) ldirr c2 PCI FPGA 32 rdwrrr c2 H L ldarr c2 PCI FPGA datvldrrr c2 Pldio H Pldio memiorr c2 I/O H I/O unit enrr c2 H 78

82 2 to 4 DeMUX with Enable( ) ctrle ETSA P α, MBwe M,B ETS awe ETS(A) ETS bwe ETS(B) 2 to 4 DeMUX with Enable( ) P α Resete Starte Pawe P α memsel 79

83 IBT IBTS IBTS PrdwrN PdatavldN ldirwe D SP FDP3AX Pldio IBTS OBZ2 D SP FDP3AX ldoen IBTS PaddrvldN ldir ldorwe ldo ldarwe datavld Pclkout clk addrvldn rdwrn datavldn D FDS3AX D SP FDP3AX D SP FDP3AX ldar IBTS PmemioN memion memior E ldarr_c2[7:6] D FDS3AX ldarr_c2 32 ldor ldi rdwrr D SP FDP3AX Dece D FDS3AX datvldr datvldrrr_c2 rdwr D FDS3AX datvldrr memiorr_c2 rdwrrr_c2 D FDS3AX D FDS3AX 32 ldirr_c2 D FDS3AX clk_d2 D FDS3AX temp_resetn clk Konishi s Algorithm Circuits use3_8 line_three datvldr rdwrr memior sel_unit_en D FDS3AX unit_enrr_c2 unit_enr 4 D SP FDP3AX X4 use3_8 reset_system line_three datvldr rdwrr memior reset_alln 32 unit_out unit_out ldar[3:2] ldar[7:4] ldar[3] ldar[2] unit2_out unit3_out D FDS3AX found_subr Reset_unit end_unit over_flow count_found 4 4 X4 (unit_out[2]) (unit_out[3:6]) found (unit_out[]) (unit_out[]) end_all_algn clk D SP FDP3DX CD FADD4 CO CI A S B found_sub 64: I/O 8

84 P IBT IBTS IBTS PrdwrN PdatavldN ldirwe D SP FDP3AX Pldio IBTS OBZ2 D SP FDP3AX ldoen IBTS PaddrvldN ldir ldorwe ldo ldarwe datavld Pclkout clk addrvldn rdwrn datavldn D FDS3AX D SP FDP3AX D SP FDP3AX ldar IBTS PmemioN memion memior E 3 ldarr_c2[7:6] data out adr in data in M / IM data out adr in data in B data out adr in data in ETSA data out adr in data in ETS(A) data out adr in data in ETS(B) data out adr in data in ETS(B) data out adr in data in ETS(A) wren 2 E 3 wren wren wren wren wren wren wren ldarr_c2[5:2] Reset_mainN Start_main_e ldirr_c2r_c2[8] ldirr_c2[:8] # #7 # #7 ldirr_c2r_c2[8] ldirr_c2[5] ldirr_c2[3:] ldirr_c2[3:] ldirr_c2[3:28] ldirr_c2[3:28] ldirr_c2[3:6] ldirr_c2[7:] ldirr_c2[5:] ldirr_c2[4] D FDS3AX ldarr_c2 32 ldarr_c2[3:2] MBWrite ETSAWrite ETS_aWrite ETS_bWrite memsel ldor ldi rdwrr D SP FDP3AX Pawe Dec2e Dece Ctrle MBwe ETS_awe ETS_bwe Starte Resete D FDS3AX datvldr datvldrrr_c2 rdwr D FDS3AX datvldrr memiorr_c2 rdwrrr_c2 D FDS3AX D FDS3AX 32 ldirr_c2 D FDS3AX clk_d2 D FDS3AX D FDS3AX unit_enrr_c2 4 clk use3_8 line_three datvldr rdwrr memior sel_unit_en D SP FDP3AX Konishi s Algorithm Circuits 65: 8

コンピュータ概論

コンピュータ概論 4.1 For Check Point 1. For 2. 4.1.1 For (For) For = To Step (Next) 4.1.1 Next 4.1.1 4.1.2 1 i 10 For Next Cells(i,1) Cells(1, 1) Cells(2, 1) Cells(10, 1) 4.1.2 50 1. 2 1 10 3. 0 360 10 sin() 4.1.2 For

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

y = x 4 y = x 8 3 y = x 4 y = x 3. 4 f(x) = x y = f(x) 4 x =,, 3, 4, 5 5 f(x) f() = f() = 3 f(3) = 3 4 f(4) = 4 *3 S S = f() + f() + f(3) + f(4) () *4

y = x 4 y = x 8 3 y = x 4 y = x 3. 4 f(x) = x y = f(x) 4 x =,, 3, 4, 5 5 f(x) f() = f() = 3 f(3) = 3 4 f(4) = 4 *3 S S = f() + f() + f(3) + f(4) () *4 Simpson H4 BioS. Simpson 3 3 0 x. β α (β α)3 (x α)(x β)dx = () * * x * * ɛ δ y = x 4 y = x 8 3 y = x 4 y = x 3. 4 f(x) = x y = f(x) 4 x =,, 3, 4, 5 5 f(x) f() = f() = 3 f(3) = 3 4 f(4) = 4 *3 S S = f()

More information

SQUFOF NTT Shanks SQUFOF SQUFOF Pentium III Pentium 4 SQUFOF 2.03 (Pentium 4 2.0GHz Willamette) N UBASIC 50 / 200 [

SQUFOF NTT Shanks SQUFOF SQUFOF Pentium III Pentium 4 SQUFOF 2.03 (Pentium 4 2.0GHz Willamette) N UBASIC 50 / 200 [ SQUFOF SQUFOF NTT 2003 2 17 16 60 Shanks SQUFOF SQUFOF Pentium III Pentium 4 SQUFOF 2.03 (Pentium 4 2.0GHz Willamette) 60 1 1.1 N 62 16 24 UBASIC 50 / 200 [ 01] 4 large prime 943 2 1 (%) 57 146 146 15

More information

a n a n ( ) (1) a m a n = a m+n (2) (a m ) n = a mn (3) (ab) n = a n b n (4) a m a n = a m n ( m > n ) m n 4 ( ) 552

a n a n ( ) (1) a m a n = a m+n (2) (a m ) n = a mn (3) (ab) n = a n b n (4) a m a n = a m n ( m > n ) m n 4 ( ) 552 3 3.0 a n a n ( ) () a m a n = a m+n () (a m ) n = a mn (3) (ab) n = a n b n (4) a m a n = a m n ( m > n ) m n 4 ( ) 55 3. (n ) a n n a n a n 3 4 = 8 8 3 ( 3) 4 = 8 3 8 ( ) ( ) 3 = 8 8 ( ) 3 n n 4 n n

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

23 Study on Generation of Sudoku Problems with Fewer Clues

23 Study on Generation of Sudoku Problems with Fewer Clues 23 Study on Generation of Sudoku Problems with Fewer Clues 1120254 2012 3 1 9 9 21 18 i Abstract Study on Generation of Sudoku Problems with Fewer Clues Norimasa NASU Sudoku is puzzle a kind of pencil

More information

MultiPASS Suite 3.20 使用説明書

MultiPASS Suite 3.20 使用説明書 TM MultiPASS Suite Ver.3.20 for Windows ii iii Copyright 2000 Canon Inc. ALL RIGHTS RESERVED iv v vi vii viii ix x 1 1 1-1 1 1 2 3 1-2 4 5 1 1-3 1 6 1-4 7 1 8 9 1-5 10 1 11 1-6 1 1-7 1 1-8 2 1 1-9 1 1

More information

自動シャットタ<3099>ウンクイックインストールカ<3099>イト<3099>.indb

自動シャットタ<3099>ウンクイックインストールカ<3099>イト<3099>.indb OMRON Corporation. 2011 All Rights Reserved. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 title Red Hat Enterprise Linux Server (2.6.18-8.el5xen serial) root (hd0,1) kernel /xen.gz-2.6.18-8.el5 console=vga xencons=ttys16

More information

C による数値計算法入門 ( 第 2 版 ) 新装版 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. このサンプルページの内容は, 新装版 1 刷発行時のものです.

C による数値計算法入門 ( 第 2 版 ) 新装版 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます.  このサンプルページの内容は, 新装版 1 刷発行時のものです. C による数値計算法入門 ( 第 2 版 ) 新装版 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/009383 このサンプルページの内容は, 新装版 1 刷発行時のものです. i 2 22 2 13 ( ) 2 (1) ANSI (2) 2 (3) Web http://www.morikita.co.jp/books/mid/009383

More information

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM R01AN0724JU0170 Rev.1.70 MCU EEPROM RX MCU 1 RX MCU EEPROM VEE VEE API MCU MCU API RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 R01AN0724JU0170 Rev.1.70 Page 1 of 33 1.... 3 1.1... 3 1.2... 3

More information

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2 FFT 1 Fourier fast Fourier transform FFT FFT FFT 1 FFT FFT 2 Fourier 2.1 Fourier FFT Fourier discrete Fourier transform DFT DFT n 1 y k = j=0 x j ω jk n, 0 k n 1 (1) x j y k ω n = e 2πi/n i = 1 (1) n DFT

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

joho09.ppt

joho09.ppt s M B e E s: (+ or -) M: B: (=2) e: E: ax 2 + bx + c = 0 y = ax 2 + bx + c x a, b y +/- [a, b] a, b y (a+b) / 2 1-2 1-3 x 1 A a, b y 1. 2. a, b 3. for Loop (b-a)/ 4. y=a*x*x + b*x + c 5. y==0.0 y (y2)

More information

MultiPASS B-20 MultiPASS Suite 3.10使用説明書

MultiPASS B-20 MultiPASS Suite 3.10使用説明書 TM MultiPASS Suite Ver.3.10 for Windows ii iii Copyright 1999 Canon Inc. ALL RIGHTS RESERVED iv v vi vii viii ix x 1 2 3 4 5 6 7 8 9 xi xii 1 1 1-1 1 2 3 1-2 4 5 1 1-3 6 1-4 1 7 8 1-5 9 10 11 1-6 1 1-7

More information

2 H23 BioS (i) data d1; input group patno t sex censor; cards;

2 H23 BioS (i) data d1; input group patno t sex censor; cards; H BioS (i) data d1; input group patno t sex censor; cards; 0 1 0 0 0 0 1 0 1 1 0 4 4 0 1 0 5 5 1 1 0 6 5 1 1 0 7 10 1 0 0 8 15 0 1 0 9 15 0 1 0 10 4 1 0 0 11 4 1 0 1 1 5 1 0 1 1 7 0 1 1 14 8 1 0 1 15 8

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

2005 1

2005 1 25 SPARCstation 2 CPU central processor unit 25 2 25 3 25 4 DRAM 25 5 25 6 : DRAM 25 7 2 25 8 2 25 9 2 bit: binary digit V 2V 25 2 2 2 2 4 5 2 6 3 7 25 A B C A B C A B C A B C A C A B 3 25 2 25 3 Co Cin

More information

25 II :30 16:00 (1),. Do not open this problem booklet until the start of the examination is announced. (2) 3.. Answer the following 3 proble

25 II :30 16:00 (1),. Do not open this problem booklet until the start of the examination is announced. (2) 3.. Answer the following 3 proble 25 II 25 2 6 13:30 16:00 (1),. Do not open this problem boolet until the start of the examination is announced. (2) 3.. Answer the following 3 problems. Use the designated answer sheet for each problem.

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

cover1.indd

cover1.indd OMRON Corporation. 2010 All Rights Reserved. Power Credit UPS PowerAct Pro Ver.4.x PA PowerAct Pro PA UPS Power Credit 2 3 4 5 6 7 8 9 10 11 12 13 title Red Hat Enterprise Linux Server (2.6.18-8.el5xen

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

soturon.dvi

soturon.dvi 12 Exploration Method of Various Routes with Genetic Algorithm 1010369 2001 2 5 ( Genetic Algorithm: GA ) GA 2 3 Dijkstra Dijkstra i Abstract Exploration Method of Various Routes with Genetic Algorithm

More information

,,,,., C Java,,.,,.,., ,,.,, i

,,,,., C Java,,.,,.,., ,,.,, i 24 Development of the programming s learning tool for children be derived from maze 1130353 2013 3 1 ,,,,., C Java,,.,,.,., 1 6 1 2.,,.,, i Abstract Development of the programming s learning tool for children

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

HP Server tc2120 Microsoft Windows 2000 Server SP3 HP P

HP Server tc2120 Microsoft Windows 2000 Server SP3 HP P HP Server tc2120 Microsoft Windows 2000 ServerSP3 HP P312977-191 200210 Hewlett-Packard Company Hewlett- Packard Company Hewlett-Packard Company Intel Corporation MicrosoftMS-DOSWindows Windows NT Microsoft

More information

2 2 ( M2) ( )

2 2 ( M2) ( ) 2 2 ( M2) ( ) 2007 3 3 1 2 P. Gaudry and R. Harley, 2000 Schoof 63bit 2 8 P. Gaudry and É. Schost, 2004 80bit 1 / 2 16 2 10 2 p: F p 2 C : Y 2 =F (X), F F p [X] : monic, deg F = 5, J C (F p ) F F p p Frobenius

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

漸化式のすべてのパターンを解説しましたー高校数学の達人・河見賢司のサイト

漸化式のすべてのパターンを解説しましたー高校数学の達人・河見賢司のサイト https://www.hmg-gen.com/tuusin.html https://www.hmg-gen.com/tuusin1.html 1 2 OK 3 4 {a n } (1) a 1 = 1, a n+1 a n = 2 (2) a 1 = 3, a n+1 a n = 2n a n a n+1 a n = ( ) a n+1 a n = ( ) a n+1 a n {a n } 1,

More information

T rank A max{rank Q[R Q, J] t-rank T [R T, C \ J] J C} 2 ([1, p.138, Theorem 4.2.5]) A = ( ) Q rank A = min{ρ(j) γ(j) J J C} C, (5) ρ(j) = rank Q[R Q,

T rank A max{rank Q[R Q, J] t-rank T [R T, C \ J] J C} 2 ([1, p.138, Theorem 4.2.5]) A = ( ) Q rank A = min{ρ(j) γ(j) J J C} C, (5) ρ(j) = rank Q[R Q, (ver. 4:. 2005-07-27) 1 1.1 (mixed matrix) (layered mixed matrix, LM-matrix) m n A = Q T (2m) (m n) ( ) ( ) Q I m Q à = = (1) T diag [t 1,, t m ] T rank à = m rank A (2) 1.2 [ ] B rank [B C] rank B rank

More information

137 6 1 2 3 4 5 6 138 6 139 1 2 3 4 5 6 140 6 141 1 2 1 2 142 6 3 143 1 2 144 6 145 1 2 3 4 5 146 6 147 1 1 148 6 1 2 149 1 2 1 2 150 6 151 152 6 1 2 153 1 2 3 154 1 2 6 3 155 156 6 157 158 1 6 2 159 1

More information

x, y x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = 15 xy (x y) (x + y) xy (x y) (x y) ( x 2 + xy + y 2) = 15 (x y)

x, y x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = 15 xy (x y) (x + y) xy (x y) (x y) ( x 2 + xy + y 2) = 15 (x y) x, y x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = 15 1 1977 x 3 y xy 3 x 2 y + xy 2 x 3 + y 3 = 15 xy (x y) (x + y) xy (x y) (x y) ( x 2 + xy + y 2) = 15 (x y) ( x 2 y + xy 2 x 2 2xy y 2) = 15 (x y) (x + y) (xy

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

., White-Box, White-Box. White-Box.,, White-Box., Maple [11], 2. 1, QE, QE, 1 Redlog [7], QEPCAD [9], SyNRAC [8] 3 QE., 2 Brown White-Box. 3 White-Box

., White-Box, White-Box. White-Box.,, White-Box., Maple [11], 2. 1, QE, QE, 1 Redlog [7], QEPCAD [9], SyNRAC [8] 3 QE., 2 Brown White-Box. 3 White-Box White-Box Takayuki Kunihiro Graduate School of Pure and Applied Sciences, University of Tsukuba Hidenao Iwane ( ) / Fujitsu Laboratories Ltd. / National Institute of Informatics. Yumi Wada Graduate School

More information

Input image Initialize variables Loop for period of oscillation Update height map Make shade image Change property of image Output image Change time L

Input image Initialize variables Loop for period of oscillation Update height map Make shade image Change property of image Output image Change time L 1,a) 1,b) 1/f β Generation Method of Animation from Pictures with Natural Flicker Abstract: Some methods to create animation automatically from one picture have been proposed. There is a method that gives

More information

チュートリアル XP Embedded 入門編

チュートリアル XP Embedded 入門編 TUT-0057 Ver. 1.0 www.interface.co.jp Ver 1.0 2005 6 (,), Web site () / () 2004 Interface Corporation. All rights reserved. ...1...1 1. XP Embedded...2 2....3 2.1....3 2.2....4 2.2.1. SLD...4 2.3....5

More information

VM-53PA1取扱説明書

VM-53PA1取扱説明書 VM-53PA1 VM-53PA1 VM-53 VM-53A VM-52 VM-52A VM-53PA1 VM-53PA1 VM-53A CF i ii VM-53 VM-53A VM-52 VM-52A CD-ROM iii VM-53PA1 Microsoft Windows 98SE operating system Microsoft Windows 2000 operating system

More information

1 142

1 142 7 1 2 3 4 5 6 7 8 1 142 PhoenixBIOS Setup Utility MainSystem DevicesSecurityPowerOthersBootExit System Time: [XX:XX:XX] Item Specific Help System Date: [XX/XX/XXXX] Floppy Drive: 1.44MB, 3 1 / 2" Hard

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

記号と準備

記号と準備 tbasic.org * 1 [2017 6 ] 1 2 1.1................................................ 2 1.2................................................ 2 1.3.............................................. 3 2 5 2.1............................................

More information

:00-16:10

:00-16:10 3 3 2007 8 10 13:00-16:10 2 Diffie-Hellman (1976) K K p:, b [1, p 1] Given: p: prime, b [1, p 1], s.t. {b i i [0, p 2]} = {1,..., p 1} a {b i i [0, p 2]} Find: x [0, p 2] s.t. a b x mod p Ind b a := x

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

For_Beginners_CAPL.indd

For_Beginners_CAPL.indd CAPL Vector Japan Co., Ltd. 目次 1 CAPL 03 2 CAPL 03 3 CAPL 03 4 CAPL 04 4.1 CAPL 4.2 CAPL 4.3 07 5 CAPL 08 5.1 CANoe 5.2 CANalyzer 6 CAPL 10 7 CAPL 11 7.1 CAPL 7.2 CAPL 7.3 CAPL 7.4 CAPL 16 7.5 18 8 CAPL

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part Reservdelskatalog MIKASA MVB-85 rullvibrator EPOX Maskin AB Postadress Besöksadress Telefon Fax e-post Hemsida Version Box 6060 Landsvägen 1 08-754 71 60 08-754 81 00 info@epox.se www.epox.se 1,0 192 06

More information

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part Reservdelskatalog MIKASA MVC-50 vibratorplatta EPOX Maskin AB Postadress Besöksadress Telefon Fax e-post Hemsida Version Box 6060 Landsvägen 1 08-754 71 60 08-754 81 00 info@epox.se www.epox.se 1,0 192

More information

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1 1 8 Z80 Z80 20 8080 GBA ASIC 2 WINDOWS C 1 2.1 Z-80 A 0 - A 15 CPU Z80 D 0- D 7 I/O Z80 1: 1 (1) CPU CPU Z80 CPU Z80 AND,OR,NOT, (2) CPU (3) I/O () Z80 (4) 2 Z80 I/O 16 16 A 0, A 1,, A 15 (5) Z80I/O 8

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

(search: ) [1] ( ) 2 (linear search) (sequential search) 1

(search: ) [1] ( ) 2 (linear search) (sequential search) 1 2005 11 14 1 1.1 2 1.2 (search:) [1] () 2 (linear search) (sequential search) 1 2.1 2.1.1 List 2-1(p.37) 1 1 13 n

More information

第10回 コーディングと統合(WWW用).PDF

第10回 コーディングと統合(WWW用).PDF 10 January 8, 2004 algorithm algorithm algorithm (unit testing) (integrated testing) (acceptance testing) Big-Bang (incremental development) (goto goto DO 50 I=1,COUNT IF (ERROR1) GO TO 60 IF (ERROR2)

More information

( 9 1 ) 1 2 1.1................................... 2 1.2................................................. 3 1.3............................................... 4 1.4...........................................

More information

Promise FastTrak100 Japanese Manual

Promise FastTrak100 Japanese Manual PROMISE TECHNOLOGY, INC. FastTrak100 TX/LP Series Ultra ATA/100 RAID Cards Japanese User s Manual October 5, 2001 TX/LP Series Ultra ATA/100 RAID Cards 0. 0.... 1 1.... 2 2.... 2... 3... 4 3.... 5...

More information

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part Reservdelskatalog MIKASA MCD-L14 asfalt- och betongsåg EPOX Maskin AB Postadress Besöksadress Telefon Fax e-post Hemsida Version Box 6060 Landsvägen 1 08-754 71 60 08-754 81 00 info@epox.se www.epox.se

More information

syspro-0405.ppt

syspro-0405.ppt 3 4, 5 1 UNIX csh 2.1 bash X Window 2 grep l POSIX * more POSIX 3 UNIX. 4 first.sh #!bin/sh #first.sh #This file looks through all the files in the current #directory for the string yamada, and then prints

More information

Real AdaBoost HOG 2009 3 A Graduation Thesis of College of Engineering, Chubu University Efficient Reducing Method of HOG Features for Human Detection based on Real AdaBoost Chika Matsushima ITS Graphics

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

1 VisBAR edu H 2 O.....

1 VisBAR edu H 2 O..... VisBAR edu v1.03 ( ) 25 4 22 1 VisBAR edu 1 1.1....................................................... 1 1.2.................................................. 2 2 3 2.1 H 2 O.........................................

More information

Microsoft Word - Win-Outlook.docx

Microsoft Word - Win-Outlook.docx Microsoft Office Outlook での設定方法 (IMAP および POP 編 ) How to set up with Microsoft Office Outlook (IMAP and POP) 0. 事前に https://office365.iii.kyushu-u.ac.jp/login からサインインし 以下の手順で自分の基本アドレスをメモしておいてください Sign

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

fx-9860G Manager PLUS_J

fx-9860G Manager PLUS_J fx-9860g J fx-9860g Manager PLUS http://edu.casio.jp k 1 k III 2 3 1. 2. 4 3. 4. 5 1. 2. 3. 4. 5. 1. 6 7 k 8 k 9 k 10 k 11 k k k 12 k k k 1 2 3 4 5 6 1 2 3 4 5 6 13 k 1 2 3 1 2 3 1 2 3 1 2 3 14 k a j.+-(),m1

More information

Canon Industrial Imaging Platform Vision Edition 使用説明書

Canon Industrial Imaging Platform Vision Edition 使用説明書 Ver. 1.1 Canon Industrial Imaging Platform Vision Edition 2 Canon Industrial Imaging Platform Vision Edition * PC PC Power over Ethernet * A 180 ( ) - - - - - - - ( ) - - - - - AF AF 3 ( ) A PC PoE PLC

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

Sobel Canny i

Sobel Canny i 21 Edge Feature for Monochrome Image Retrieval 1100311 2010 3 1 3 3 2 2 7 200 Sobel Canny i Abstract Edge Feature for Monochrome Image Retrieval Naoto Suzue Content based image retrieval (CBIR) has been

More information

1 122

1 122 6 1 2 3 4 5 6 1 122 PhoenixBIOS Setup Utility MainAdvancedSecurityPowerExit MainSystem DevicesSecurityBootExit System Time: [XX:XX:XX] [XX:XX:XX] System Date: [XX/XX/XX] [XX/XX/XXXX] Item Specific Help

More information

Int Int 29 print Int fmt tostring 2 2 [19] ML ML [19] ML Emacs Standard ML M M ::= x c λx.m M M let x = M in M end (M) x c λx.

Int Int 29 print Int fmt tostring 2 2 [19] ML ML [19] ML Emacs Standard ML M M ::= x c λx.m M M let x = M in M end (M) x c λx. 1, 2 1 m110057@shibaura-it.ac.jp 2 sasano@sic.shibaura-it.ac.jp Eclipse Visual Studio ML Standard ML Emacs 1 ( IDE ) IDE C C++ Java IDE IDE IDE IDE Eclipse Java IDE Java Standard ML 1 print (Int. 1 Int

More information

Part0(TOC).ISA.V2

Part0(TOC).ISA.V2 LA-ISA V2 ii iii LA-ISA V2 iv v B 10 A Plug & Play Plug & Play Legacy Legacy 9 11 Legacy 8 Plug & Play Legacy 7 Legacy 6 3 2 5 Legacy Legacy 1 Plug & Play 4 vi 10 9 8 7 6 5 4 3 2 1 vii viii 10 9 8 7 6

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

4.1 % 7.5 %

4.1 % 7.5 % 2018 (412837) 4.1 % 7.5 % Abstract Recently, various methods for improving computial performance have been proposed. One of these various methods is Multi-core. Multi-core can execute processes in parallel

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part Reservdelskatalog MIKASA MT65H vibratorstamp EPOX Maskin AB Postadress Besöksadress Telefon Fax e-post Hemsida Version Box 6060 Landsvägen 1 08-754 71 60 08-754 81 00 info@epox.se www.epox.se 1,0 192 06

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

Run-Based Trieから構成される 決定木の枝刈り法

Run-Based Trieから構成される  決定木の枝刈り法 Run-Based Trie 2 2 25 6 Run-Based Trie Simple Search Run-Based Trie Network A Network B Packet Router Packet Filtering Policy Rule Network A, K Network B Network C, D Action Permit Deny Permit Network

More information

,,.,,., II,,,.,,.,.,,,.,,,.,, II i

,,.,,., II,,,.,,.,.,,,.,,,.,, II i 12 Load Dispersion Methods in Thin Client Systems 1010405 2001 2 5 ,,.,,., II,,,.,,.,.,,,.,,,.,, II i Abstract Load Dispersion Methods in Thin Client Systems Noritaka TAKEUCHI Server Based Computing by

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

CH1 ...g O...pmd

CH1 ...g O...pmd MCT-1A 2 17 27 44 59 SPACE DiVA SPACE DiVA JCSAT-2A SPACE DiVA CS BS 2 OK USB ver 1.1 USB 2005 4 256 32 3 SPACE DiVA 950 MHz 2150 MHz CS SPACE DiVA SPACE DiVA 4... 2... 32... 2... 32... 3... 33... 4...34...

More information

2007-Kanai-paper.dvi

2007-Kanai-paper.dvi 19 Estimation of Sound Source Zone using The Arrival Time Interval 1080351 2008 3 7 S/N 2 2 2 i Abstract Estimation of Sound Source Zone using The Arrival Time Interval Koichiro Kanai The microphone array

More information

取扱説明書の読み替え一覧表

取扱説明書の読み替え一覧表 SCSI アレイコントローラカード取扱説明書 ( 追補版 ) PG-140BL PG-140C PG-140CL PG-141B PG-142B PG-142C PG-142D GP5-150 GP5-1501 GP5-151 はじめに Linux MicrosoftWindows NTMicrosoft Corporation NetwareNovell Copyright 1985-2001 Microsoft

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B

1. A0 A B A0 A : A1,...,A5 B : B1,...,B 1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 3. 4. 5. A0 A B f : A B 4 (i) f (ii) f (iii) C 2 g, h: C A f g = f h g = h (iv) C 2 g, h: B C g f = h f g = h 4 (1) (i) (iii) (2) (iii) (i) (3) (ii) (iv) (4)

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

高校生の就職への数学II

高校生の就職への数学II II O Tped b L A TEX ε . II. 3. 4. 5. http://www.ocn.ne.jp/ oboetene/plan/ 7 9 i .......................................................................................... 3..3...............................

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

+ -

+ - i i C Matsushita Electric Industrial Co., Ltd.2001 -S F0901KK0 seconds ANTI-SKIP SYSTEM Portable CD player Operating Instructions -S + - + - 9 BATTERY CARRYING CASE K 3 - + 2 1 OP 2 + 3 - K K http://www.baj.or.jp

More information

FileMaker Mobile 8 User’s Guide

FileMaker Mobile 8 User’s Guide For Windows, Mac, Palm OS, and Pocket PC FileMaker Mobile 8 Companion for Palm OS and Pocket PC 2000-2006 FileMaker, Inc. All Rights Reserved. FileMaker, Inc. 5201 Patrick Henry Drive Santa Clara, California

More information

Gray [6] cross tabulation CUBE, ROLL UP Johnson [7] pivoting SQL 3. SuperSQL SuperSQL SuperSQL SQL [1] [2] SQL SELECT GENERATE <media> <TFE> GENER- AT

Gray [6] cross tabulation CUBE, ROLL UP Johnson [7] pivoting SQL 3. SuperSQL SuperSQL SuperSQL SQL [1] [2] SQL SELECT GENERATE <media> <TFE> GENER- AT DEIM Forum 2017 E3-1 SuperSQL 223 8522 3 14 1 E-mail: {tabata,goto}@db.ics.keio.ac.jp, toyama@ics.keio.ac.jp,,,, SuperSQL SuperSQL, SuperSQL. SuperSQL 1. SuperSQL, Cross table, SQL,. 1 1 2 4. 1 SuperSQL

More information

2 ( ) i

2 ( ) i 25 Study on Rating System in Multi-player Games with Imperfect Information 1165069 2014 2 28 2 ( ) i ii Abstract Study on Rating System in Multi-player Games with Imperfect Information Shigehiko MORITA

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

tutorial_lc.dvi

tutorial_lc.dvi 00 Linux v.s. RT Linux v.s. ART-Linux Linux RT-Linux ART-Linux Linux kumagai@emura.mech.tohoku.ac.jp 1 1.1 Linux Yes, No.,. OS., Yes. Linux,.,, Linux., Linux.,, Linux. Linux.,,. Linux,.,, 0..,. RT-Linux

More information

Cleaner XL 1.5 クイックインストールガイド

Cleaner XL 1.5 クイックインストールガイド Autodesk Cleaner XL 1.5 Contents Cleaner XL 1.5 2 1. Cleaner XL 3 2. Cleaner XL 9 3. Cleaner XL 12 4. Cleaner XL 16 5. 32 2 1. Cleaner XL 1. Cleaner XL Cleaner XL Administrators Cleaner XL Windows Media

More information

Ver.1 1/17/2003 2

Ver.1 1/17/2003 2 Ver.1 1/17/2003 1 Ver.1 1/17/2003 2 Ver.1 1/17/2003 3 Ver.1 1/17/2003 4 Ver.1 1/17/2003 5 Ver.1 1/17/2003 6 Ver.1 1/17/2003 MALTAB M GUI figure >> guide GUI GUI OK 7 Ver.1 1/17/2003 8 Ver.1 1/17/2003 Callback

More information

Copyright 2009 Sun Microsystems, Inc Network Circle, Santa Clara, CA U.S.A. All rights reserved. Sun Microsystems, Inc. ( Sun Microsystems

Copyright 2009 Sun Microsystems, Inc Network Circle, Santa Clara, CA U.S.A. All rights reserved. Sun Microsystems, Inc. ( Sun Microsystems Sun Ultra 27 Linux Solaris Sun Microsystems, Inc. 4150 Network Circle Santa Clara, CA 95054 U.S.A. Part No: 821 0162 10 2009 3 Revision A Copyright 2009 Sun Microsystems, Inc. 4150 Network Circle, Santa

More information

Compatibility list: vTESTstudio/CANoe

Compatibility list: vTESTstudio/CANoe 1.0 および 1.1 で作成されたテストユニットは テスト内で使用されるコマンドに関わらず 必ず下記の最小バージョン以降の CANoe にて実行してください vteststudio 2.0 以上で作成されたテストユニット ( 新機能を使用していない場合 ) は それぞれに応じた最小バージョン以降の CANoe にて実行してください 下記の表にて 各バージョンに対応する要件をご確認ください vteststudio

More information