aaa

Size: px
Start display at page:

Download "aaa"

Transcription

1 STRJ WS: March5, 29, 特別講演 設計技術から見た 半導体集積回路の省電力技術 東京大学大規模集積システム設計教育研究センター (VDEC) 1 生産技術研究所 2 高宮真

2 Outline 2 低電力設計技術の動向 (1) 低電圧 (2) 細粒度制御 (3)3 次元 ロジック回路の電源電圧の下限 (V DDmin ) 細粒度基板バイアス制御による低電力化 3 次元 SSD の NAND フラッシュ向け昇圧回路による低電力化 (SSD: Solid State Drive)

3 電源電圧 (V DD ) の低減の必要性 3 電源電圧 (V) 研究のターゲット High-performance logic Low-power logic Design rule ITRS 年 P switching ƒv DD 2 P leakage I leakage V DD DRAM half pitch (nm) 9nm 65nm 45nm と V DD =1V が続いたが 今後は電力と信頼性の観点から V DD の低減が必須

4 4 エネルギー効率最適は低 V DD で実現 Normalized delay & power Delay Power PD product V DD [V] Normalized PD product 9nm CMOS SPICE Power, Delay 積 (PD 積 = エネルギー ) は V DD =.2-.3V で最小 速度が問われないアプリでは低 V DD が energy efficient

5 5 Energy Efficient な超低 V DD ロジック 超低 V DD ロジックに関する初めての企業 (Intel) からの報告 V DD =23mV まで動作はするが 32mV がエネルギー効率最高 H. Kaul, M. Anders, S. Mathew, S. Hsu, A. Agarwal, R. Krishnamurthy, and S. Borkar, "A 32mV 56µW 411GOPS/Watt ultralow voltage motion estimation accelerator in 65nm CMOS," IEEE ISSCC, pp , Feb. 28.

6 6 時空間の細粒度電圧制御がトレンド Time step of power control ns μs Static 近年の低電力 VLSI 回路技術の方向性 Single Domain Common f CLK, V DD, V TH Domain1 f CLK1, V DD1, V TH1 Conventional LSI 1 4 Future LSI 2 3 n f CLK3, V DD3, V TH3 f CLKn, V DDn, V THn Number of power control domain on a chip

7 7 細粒度制御には 3 次元技術との連携が必須 Interposer L & C cell array Power supply & other wires Inductors Capacitors Embedded Pads & bumps Package Sensor, MEMS, High voltage generation, Analog, RF etc. (3D stacked) Stacked memories Parallel processors with own DC-DC converters Base chip

8 8 細粒度と 3 次元に対する我々の取り組み 電源電圧の下限 (V DDmin ) の追求 チップ内トランジスタばらつき測定 [1] ロジック回路のV [2-3] DDmin 今回発表 空間的細粒度制御今回発表 製造後の細粒度基板バイアス制御による低電力化 [4] メニーコア向けテスト手法 [5] 3 次元積層を用いたオンチップDC-DCコンバータ [6-7] 時間的細粒度制御 電源電圧 基板バイアスを高速に変化させる加速回路 [8-11] 電源ノイズキャンセル回路 [12] 今回発表 3 次元集積技術 3 次元 SSDのNANDフラッシュ向け昇圧回路による低電力化 [13]

9 Outline 9 低電力設計技術の動向 (1) 低電圧 (2) 細粒度制御 (3)3 次元 ロジック回路の電源電圧の下限 (V DDmin ) 細粒度基板バイアス制御による低電力化 3 次元 SSD の NAND フラッシュ向け昇圧回路による低電力化 (SSD: Solid State Drive)

10 1 Minimum Operating Voltage (V DDmin ) 2 Simulated V out Voltage (mv) V out V DD V DDmin Time (μs) V DDmin is defined as the supply voltage (V DD ) when the RO s stop oscillation. RO s are useful V DDmin detectors.

11 チップ内トランジスタばらつき 11 Data clk DQ DQ DQ DQ DQ V sel V unsel V D Measured transistor VS V bs V bs V sel V D (1.V) V s (V) GND V unsel 4mm (4 transistors) 9nm CMOS 1 Transistor Array 4mm トランジスタばらつき測定回路キー技術 (1) 挟ピッチかつ広範囲のTrアレー (2) 非選択 Trのリークカット 規格化 V TH Power (a.u.)1 4 トランジスタ トランジスタ位置 (µm) 1E 空間周波数 (1/µm) 頻度 フーリエ変換 チップ内 V TH ばらつきはランダム チップ内 V TH ばらつきは 4mm の範囲内でランダム 細粒度の基板バイアス制御では補償不可

12 12 Analysis of Origin of V DDmin V DD V 1 V 2 V 3 V 1 V Each transistor has random V TH. V INV of inverter, V 1 ~V 11 (mv) V 2 V 4 V 1 V 3 L V 5 V 6 V 9 V 7 V 8 V 1 V Inverter number H H L H V INV V 1 ~V 11 V DD =85mV Fail V OUT_LOW_7 > V INV_8 Monte Carlo SPICE

13 13 RO Circuits to Enable V DDmin Measurement V DD2 V DD2 V DD 1V Low swing 1V swing V SS2 V SS2 (manually tuned) Ring Oscillator V SS V Output Buffer The low swing output of RO is amplified to 1-V swing by the output buffer.

14 14 V DD Dependence of Oscillation Frequency variation 15 Frequency Variations (= σ / average) (%) 1 5 実測 9nm CMOS 11-stage ring oscillators 13 dies V DD (V) t pd Δ pd = Δ dvth t pd dt DD TH ( V V ) DD CV V TH Δtpd α ΔV t V V pd DD TH TH Δf Δt α f t V V pd Δ α pd DD TH V TH Relative frequency variations increases with reduced V DD.

15 ゲート遅延の V DD 依存 15 Oscillation frequency (Hz) 1G 1G 11-stage 1M 1M 1M 11-stage 1k 13 dies 1k V DD (V) V DDmin 実測 9nm CMOS インバータ RO 11-stage 11-stage 11-stage Layout 1mm 4μm Micrograph 低 V DD 回路の問題 : 低速 PVT ばらつきに敏感 対策 : 並列動作 adaptive 制御

16 16 Measured Die-to-Die Distribution of V DDmin stage (1) Inverter RO s k-stage (4) Number of dies stage (2) 1k-stage (3) Number of dies k-stage (5) M-stage (6) V DDmin (mv) V DDmin (mv)

17 論理ゲートの V DDmin を RO で調査 mm 平均 V DDmin (mv) k 1k 1k 1M リングオシレータ段数 大規模になるほど より高いV DDmin が必要に 大規模ロジックになるほど 低 V DD 化が困難 1.3mm 1M stage inverter RO 実測 9nm CMOS インバータ RO 複数チップ測定

18 18 Analysis of Die-to-Die V DDmin Variations V DDmin (mv) Inverter RO s 15 dies 1 1 1k 1k 1k 1M Number of stages The die-to-die V DDmin variations are not systematic but random.

19 19 Summary of Measured V DDmin Average V DDmin (mv) NAND RO x4 inverter RO 2NAND RO Inverter RO (3 different lots) (1) Large # of stages (2) Large # of stacked Tr s (3) Narrow gate width increase V DDmin k 1k 1k 1M Number of stages

20 2 Comparison of Measured and Calculated V DDmin Average V DDmin (mv) Measurement MATLAB x4 inverter RO Inverter RO s Inverter RO Name Sim75 Sim1 Sim125 Sim k 1k 1k 1M Number of stages σv TH (mv) nmos pmos Sim15 Sim125 Sim1 Sim75 Remarks x.75 x1 x1.25 x1.5

21 21 Reason Why Average V DDmin Increases with # of RO Stages Probability distribution function The largest value distributions f max (x,n) of n samples which have Gaussian distribution f(x) x 2σ f ( x) n= x σ x x + σ n=1 1 x + 2σ x x max x + 2 log x + 3σ nσ ( x ) f, max 1 1 n x + 4σ x + 5σ x + 6σ x at n=1 max 6 x + 7σ

22 22 Comparison of Monte Carlo and Model Average V DDmin (mv) Measurement Matlab (Monte Carlo simulation) Model calculation x4 inverter RO Inverter RO x max x + 2 log 1 nσ Sim15 Sim125 Sim1 Sim k 1k 1k 1M n: Number of stages The equation intuitively explains the reason why the average V DDmin increases with the number of RO stages.

23 23 Adaptive Body Bias Control to Reduce V DDmin Simulated V DDmin =89 mv (Initial) Body bias control V DDmin =87 mv The body bias of pmos is adaptively controlled to minimize V DDmin and the body bias of nmos is fixed.

24 24 Fine-Grain Adaptive Body Bias Control to Reduce V DDmin Simulated Vb1 Vb2 Vb3 Vb4 Vb5 Vb V DDmin =85 mv Vb1 Vb3 Vb5 Vb7 Vb9 Vb11 Vb2 Vb4 Vb6 Vb8 Vb V DDmin =43 mv When inverter-by-inverter body bias is applied, V DDmin is drastically reduced to 43mV. But it is impractical.

25 25 V DDmin Dependence on Body Bias of Both nmos and pmos Measured Initial V DDmin (mv) nmos Body Bias (V) pmos Body Bias (V) Common body bias control allows to reduce V DDmin by only 4mV.

26 Outline 26 低電力設計技術の動向 (1) 低電圧 (2) 細粒度制御 (3)3 次元 ロジック回路の電源電圧の下限 (V DDmin ) 細粒度基板バイアス制御による低電力化 3 次元 SSD の NAND フラッシュ向け昇圧回路による低電力化 (SSD: Solid State Drive)

27 27 細粒度基板バイアス制御による低電力化 V N1 V P1 V N2 V P2 V N3 V P3 V N4 V P4 電力 % -5% -1% -15% As-fabricated 実測 N8P8 Simulated Annealing >19% power reduction at 2 iterations V N5 V P5 V N6 V P6-2% 機能 プロセス V N7 V P7 V N8 V P8-25% 64bit DES CODEC 1V, 9nm CMOS 1 つの機能ブロックを 8 領域に等分割 Iteration count [times] 基板バイアス 16 変数の最適化 基板バイアスのグローバル最適化により電力を 19% 以上削減 post-fabrication tuning により設計ばらつきを補正

28 Outline 28 低電力設計技術の動向 (1) 低電圧 (2) 細粒度制御 (3)3 次元 ロジック回路の電源電圧の下限 (V DDmin ) 細粒度基板バイアス制御による低電力化 3 次元 SSD の NAND フラッシュ向け昇圧回路による低電力化 (SSD: Solid State Drive)

29 Importance of 2V generator in NAND 29 Write time is dominant over read time. Write 8 to 16 chips simultaneously. 2V or higher program voltage for write Energy during write should be reduced. Read time ~5µs Write time ~8µs Program voltage:2v Floating gate V V Injection V Write operation of NAND flash High-speed low-power 2V generator is required.

30 3 Conventional SSD with charge pump Each NAND flash has charge pump for 2V. 5 to 1% area of NAND flash chip! NAND controller Interposer NAND flash Charge pump DRAM

31 Issues on charge pump 31 Serial MOS diodes lose energy. Large number of stages for low V DD V DD V OUT =2V Clk Clk Large capacitance area Energy loss Large capacitance for large current V OUT V DD Bucket brigade

32 32 Voltage scalability of charge pump Energy during write (a.u.) Others Memory core Charge pump 3.3V NAND* (Core 2.5V) *K. Takeuchi, et al., ISSCC 26 C BL V 2 Energy increases! C BL : Bit-line capacitance Memory core Charge pump 1.8V NAND (simulated) Energy by charge pump increases!

33 33 Proposed 3D-SSD with boost converter Realizing low power and low cost Boost converter (shared) NAND controller Adaptive controller Low-cost High-voltage MOS Spiral inductor Smaller die size Interposer Charge pump NAND flash Charge pump DRAM

34 34 Advantages of Boost converters Frequency, duty cycle Conversion ratio (V OUT /V DD ) Inductance Output current T ON T OFF V DD V OUT Frequency = 1 / (T ON + T OFF ) Duty cycle = T ON / (T ON + T OFF ) Clk High conversion ratio, large output current High efficiency Small chip area Off-chip inductor

35 35 Boost converter & NAND Co-operation High voltage MOS (.35mm.5mm) Inductor (5mm x 5mm) 16Gb NAND flash Adaptive controller (.67mm.28mm)

36 36 Comparison of energy during write Energy during write (a.u.) Others Memory core Charge pump Conventional 3.3V NAND* (Core 2.5V) *K. Takeuchi, et al., ISSCC 26. Memory core Charge pump Conventional 1.8V NAND (Simulated) Total -68% Memory core This work 1.8V NAND Boost converter with adaptive control

37 Summary of key features 37 This work (Measured) Charge Pump (Simulated) Transient energy ( 15V) 3nJ (12%) 253nJ (1%) Rising time ( 15V).92µs (27%) 3.45µs (1%) Chip area (HV-MOS).175mm 2 (15%) 1.19mm 2 (1%) Technology 2V CMOS (High voltage MOS) process Chip area (Adaptive controller).188mm Technology 1.8V.18µm (Adaptive controller) standard CMOS Supply voltage 1.8V 1.8V

38 まとめ 38 低電力設計技術の 3 つのキーワード (1) 低電圧 (2) 細粒度制御 (3)3 次元 チップ内製造ばらつきはランダム (9nm CMOS, 4mm) 細粒度制御では対処不能 一方 設計ばらつきは細粒度制御で対処可能 リングオシレータの段数を 11 段から 1M 段にすると V DDmin は 9mV から 343mV に増加 大規模ロジックの低電圧化は困難 革新的な回路技術が必要 3 次元による低電力化の例 SSD

39 参考文献 (1) 39 [1] D. Levacq, T. Minakawa, M. Takamiya, and T. Sakurai, "A Wide Range Spatial Frequency Analysis of Intra-Die Variations with 4-mm 4 x 1 Transistor Arrays in 9nm CMOS," IEEE Custom Integrated Circuits Conference (CICC), San Jose, USA, pp , Sep. 27. [2] T. Niiyama, P. Zhe, K. Ishida, M. Murakata, M. Takamiya, and T. Sakurai, "Dependence of Minimum Operating Voltage (V DDmin ) on Block Size of 9-nm CMOS Ring Oscillators and Its Implications in Low Power DFM," IEEE International Symposium on Quality Electronic Design (ISQED), San Jose, USA, pp , March 28. [3] T. Niiyama, P. Zhe, K. Ishida, M. Murakata, M. Takamiya, and T. Sakurai, "Increasing Minimum Operating Voltage (V DDmin ) with Number of CMOS Logic Gates and Experimental Verification with up to 1Mega-Stage Ring Oscillators," International Symposium on Low Power Electronics and Design (ISLPED), Bangalore, India, pp , Aug. 28. [4] Y. Nakamura, D. Levacq, L. Xiao, T. Minakawa, T. Niiyama, M. Takamiya, and T. Sakurai, "1/5 Power Reduction by Global Optimization Based on Fine-Grained Body Biasing," IEEE Custom Integrated Circuits Conference (CICC), San Jose, USA, pp , Sep. 28. [5] T. Niiyama, K. Ishida, M. Takamiya, and T. Sakurai, "Expected Vectorless Teacher-Student Swap (TSS) Test Method with Dual Power Supply Voltages for.3v Homogeneous Multi-core LSI s," IEEE Custom Integrated Circuits Conference (CICC), San Jose, USA, pp , Sep. 28. [6] K.Onizuka, H. Kawaguchi, M. Takamiya and T. Sakurai, "Stacked-chip Implementation of Onchip Buck Converter for Power-Aware Distributed Power Supply Systems," IEEE Asian Solid- State Circuits Conference (A-SSCC), Hangzhou, China, pp , Nov. 26. [7] K. Onizuka, K. Inagaki, H. Kawaguchi, M. Takamiya, and T. Sakurai, "Stacked-Chip Implementation of On-Chip Buck Converter for Distributed Power Supply System in SiPs," IEEE Journal of Solid-State Circuits, Vol. 42, No. 11, pp , Nov. 27.

40 参考文献 (2) 4 [8] K.Onizuka and T. Sakurai, "V DD -Hopping Accelerator for On-Chip Power Supplies Achieving Nano-Second Order Transient Time," IEEE Asian Solid-State Circuits Conference (A-SSCC), Hsinchu, Taiwan, pp , Nov. 25. [9] K. Onizuka, H. Kawaguchi, M. Takamiya, and T. Sakurai, "V DD -Hopping Accelerators for On- Chip Power Supply Circuit to Achieve Nanosecond-Order Transient Time," IEEE Journal of Solid-State Circuits, Vol. 41, No. 11, pp , Nov. 26. [1] D. Levacq, M. Takamiya and T. Sakurai, "Backgate Bias Accelerator for 1ns-order Sleep-to- Active Modes Transition Time," IEEE Asian Solid-State Circuits Conference (A-SSCC), Jeju, Korea, pp , Nov. 27. [11] D. Levacq, M. Takamiya, and T. Sakurai, "Backgate Bias Accelerator for sub-1 ns Sleepto-Active Modes Transition Time," IEEE Journal of Solid-State Circuits, Vol. 43, No. 11, pp , Nov. 28. [12] Y. Nakamura, M. Takamiya, and T. Sakurai, "An On-Chip Noise Canceller with High Voltage Supply Lines for Nanosecond-Range Power Supply Noise," IEEE Symposium on VLSI Circuits, Kyoto, pp , June 27. [13] K. Ishida, T. Yasufuku, S. Miyamoto, H. Nakai, M. Takamiya, T. Sakurai, and K. Takeuchi, "A 1.8V 3nJ Adaptive Program-Voltage (2V) Generator for 3D-Integrated NAND Flash SSD," IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, USA, pp , Feb. 29.

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

スライド 1

スライド 1 STRJ WS: March 9, 2006, 0.35µm 0.8µm 0.3µm STRJ WS: March 9, 2006, 2 0.35µm Lot-to-Lot, Wafer-to-Wafer, Die-to-Die(D2D) D2D 0.8µm (WID: Within Die) D2D vs. WID 0.3µm D2Dvs. WID STRJ WS: March 9, 2006,

More information

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IWASE Nobukazu TAKAI Haruo KOBAYASHI Takahiro ODAGUCHI

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

Fig. 1. Relation between magnetron anode current and anode-cathod voltage. Fig. 2. Inverter circuit for driving a magnetron. 448 T. IEE Japan, Vol. 11

Fig. 1. Relation between magnetron anode current and anode-cathod voltage. Fig. 2. Inverter circuit for driving a magnetron. 448 T. IEE Japan, Vol. 11 High Frequency Inverter for Microwave Oven Norikazu Tokunaga, Member, Yasuo Matsuda, Member, Kunio Isiyama, Non-member (Hitachi, Ltd.), Hisao Amano, Member (Hitachi Engineering, Co., Ltd.). Recently resonant

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 一般機器用 For Consumer Products 汎用パワーインダクタ Common Power Inductors HER series RoHS HER327 HER427 HER527 HER627 HER88 HER9 特徴 直流重畳特性に優れている為 DC-DC コンバータ用インダクタとして最適 ドラムコアとリングコアに異なる磁性材料を使い電流特性を向上 * 既存同サイズと比べて電流特性を約

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

LMC6082 Precision CMOS Dual Operational Amplifier (jp)

LMC6082 Precision CMOS Dual Operational Amplifier (jp) Precision CMOS Dual Operational Amplifier Literature Number: JAJS760 CMOS & CMOS LMC6062 CMOS 19911126 33020 23900 11800 ds011297 Converted to nat2000 DTD Edited for 2001 Databook SGMLFIX:PR1.doc Fixed

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

main.dvi

main.dvi FDTD S A Study on FDTD Analysis based on S-Parameter 18 2 7 04GD168 FDTD FDTD S S FDTD S S S S FDTD FDTD i 1 1 1.1 FDTD.................................... 1 1.2 FDTD..................... 3 2 S 5 2.1 FDTD

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 63 No. 1 May 2017 Development of Simultaneous-Capture Wide-dynamic-range Technology and Global Shutter Technology for Organic Photoconductive Film Image Sensor Masashi

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

4.1 % 7.5 %

4.1 % 7.5 % 2018 (412837) 4.1 % 7.5 % Abstract Recently, various methods for improving computial performance have been proposed. One of these various methods is Multi-core. Multi-core can execute processes in parallel

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

DS90LV V or 5V LVDS Driver/Receiver (jp)

DS90LV V or 5V LVDS Driver/Receiver (jp) DS90LV019 DS90LV019 3.3V or 5V LVDS Driver/Receiver Literature Number: JAJS563 DS90LV019 LVDS 1 / DS90LV019 Low Voltage Differential Signaling (LVDS) 1 CMOS / DS90LV019 EIA-644 IEEE1596.3 (SCI LVDS) 2

More information

mobicom.dvi

mobicom.dvi 13Dynamic Voltage Scaling on a Low-Power Microprocessor Johan Pouwelse 5 Koen Langendoen Henk Sips Faculty of Information Technology and Systems Delft University of Technology, The Netherlands 1 78724

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

SEJulyMs更新V7

SEJulyMs更新V7 1 2 ( ) Quantitative Characteristics of Software Process (Is There any Myth, Mystery or Anomaly? No Silver Bullet?) Zenya Koono and Hui Chen A process creates a product. This paper reviews various samples

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

LM193/LM293/LM393/LM 回路入り低動作電圧低オフセット電圧コンパレータ

LM193/LM293/LM393/LM 回路入り低動作電圧低オフセット電圧コンパレータ LM193,LM2903,LM293,LM393 LM193/ Low Power Low Offset Voltage Dual Comparators Literature Number: JAJSB74 2 LM293 2.0mV 2 A/D VCO MOS LM293 TTL CMOS LM293 MOS LM393 LM2903 Micro SMD 8 ( 0.3mm) Squarewave

More information

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig Mover Design and Performance Analysis of Linear Synchronous Reluctance Motor with Multi-flux Barrier Masayuki Sanada, Member, Mitsutoshi Asano, Student Member, Shigeo Morimoto, Member, Yoji Takeda, Member

More information

LM6172 デュアル高速低消費電力、低歪み電圧帰還アンプ

LM6172 デュアル高速低消費電力、低歪み電圧帰還アンプ Dual High Speed, Low Power, Low Distortion, Voltage Feedback Amplifiers Literature Number: JAJS854 100MHz 3000V/ s 50mA 2.3mA/ 15V ADSL 5V VIP III (Vertically Integrated PNP) LM6171 Dual High Speed, Low

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

Estimation of Photovoltaic Module Temperature Rise Motonobu Yukawa, Member, Masahisa Asaoka, Non-member (Mitsubishi Electric Corp.) Keigi Takahara, Me

Estimation of Photovoltaic Module Temperature Rise Motonobu Yukawa, Member, Masahisa Asaoka, Non-member (Mitsubishi Electric Corp.) Keigi Takahara, Me Estimation of Photovoltaic Module Temperature Rise Motonobu Yukawa, Member, Masahisa Asaoka, Non-member (Mitsubishi Electric Corp.) Keigi Takahara, Member (Okinawa Electric Power Co.,Inc.) Toshimitsu Ohshiro,

More information

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation 1 1 1 1 SPEC CPU 2000 EQUAKE 1.6 50 500 A Parallelizing Compiler Cooperative Multicore Architecture Simulator with Changeover Mechanism of Simulation Modes GAKUHO TAGUCHI 1 YOUICHI ABE 1 KEIJI KIMURA 1

More information

LM837 Low Noise Quad Operational Amplifier (jp)

LM837 Low Noise Quad Operational Amplifier (jp) Low Noise Quad Operational Amplifier Literature Number: JAJSBB7 600 Low Noise Quad Operational Amplifier 2000 8 Converted to nat2000 DTD ds009047tl/h/9047 33020 19860602 10 V/ s ( ); 8 V/ s ( ) 25 MHz

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

HN58X2402SFPIAG/HN58X2404SFPIAG

HN58X2402SFPIAG/HN58X2404SFPIAG お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

LP3470 Tiny Power On Reset Circuit (jp)

LP3470  Tiny Power On Reset Circuit (jp) Tiny Power On Reset Circuit Literature Number: JAJS547 IC ( C) CMOS IC 2.63V 2.93V 3.08V 3.65V 4.00V 4.38V 4.63V 6 (V RTH ) 2.4V 5.0V V CC (L ow ) ( ) V CC ( ) IC SOT23-5 1 : 2.63V 2.93V 3.08V 3.65V 4.00V

More information

JFE.dvi

JFE.dvi ,, Department of Civil Engineering, Chuo University Kasuga 1-13-27, Bunkyo-ku, Tokyo 112 8551, JAPAN E-mail : atsu1005@kc.chuo-u.ac.jp E-mail : kawa@civil.chuo-u.ac.jp SATO KOGYO CO., LTD. 12-20, Nihonbashi-Honcho

More information

<95DB8C9288E397C389C88A E696E6462>

<95DB8C9288E397C389C88A E696E6462> 2011 Vol.60 No.2 p.138 147 Performance of the Japanese long-term care benefit: An International comparison based on OECD health data Mie MORIKAWA[1] Takako TSUTSUI[2] [1]National Institute of Public Health,

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL

UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL UWB a) Accuracy of Relative Distance Measurement with Ultra Wideband System Yuichiro SHIMIZU a) and Yukitoshi SANADA (Ultra Wideband; UWB) UWB GHz DLL UWB (DLL) UWB DLL 1. UWB FCC (Federal Communications

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

5 11 3 1....1 2. 5...4 (1)...5...6...7...17...22 (2)...70...71...72...77...82 (3)...85...86...87...92...97 (4)...101...102...103...112...117 (5)...121...122...123...125...128 1. 10 Web Web WG 5 4 5 ²

More information

LM4663 2 Watt Stereo Class D Audio Pwr Amp w/Stereo Headphone Amplifier (jp)

LM4663 2 Watt Stereo Class D Audio Pwr Amp w/Stereo Headphone Amplifier (jp) 2 Watt Stereo Class D Audio Power Amplifier with Stereo Headphone Amplifier Literature Number: JAJS693 Boomer 2006 4 A very minor text edit (typo). (MC) Converted to nat2000 DTD. Few edits on Table 1 and

More information

untitled

untitled Power Wall HPL1 10 B/F EXTREMETECH Supercomputing director bets $2,000 that we won t have exascale computing by 2020 One of the biggest problems standing in our way is power. [] http://www.extremetech.com/computing/155941

More information

Table 1: Basic parameter set. Aperture values indicate the radius. δ is relative momentum deviation. Parameter Value Unit Initial emittance 10 mm.mrad

Table 1: Basic parameter set. Aperture values indicate the radius. δ is relative momentum deviation. Parameter Value Unit Initial emittance 10 mm.mrad SuperKEKB EMITTANCE GROWTH BY MISALIGNMENTS AND JITTERS IN SUPERKEKB INJECTOR LINAC Y. Seimiya, M. Satoh, T. Suwada, T. Higo, Y. Enomoto, F. Miyahara, K. Furukawa High Energy Accelerator Research Organization

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. E-mail: {ytamura,takai,tkato,tm}@vision.kuee.kyoto-u.ac.jp Abstract Current Wave Pattern Analysis for Anomaly

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

LM358

LM358 LM358 2 DC LM358 5V DC 15V DC micro SMD (8 micro SMD) LM358 LM2904 LM258 LM158 20000801 19870224 33020 23900 11800 2002 3 ds007787 Converted to nat2000 DTD added avo -23 to the first page Edited for 2001

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

2 1) 2) 3) 4) 5) 6) Development of Second Generation Wireless In-Wheel Motor with Dynamic Wireless Power Transfer Hiroshi Fujimoto Takuma Takeuchi Kat

2 1) 2) 3) 4) 5) 6) Development of Second Generation Wireless In-Wheel Motor with Dynamic Wireless Power Transfer Hiroshi Fujimoto Takuma Takeuchi Kat 2 1) 2) 3) 4) 5) 6) Development of Second Generation Wireless In-Wheel Motor with Dynamic Wireless Power Transfer Hiroshi Fujimoto Takuma Takeuchi Katsuhiro Hata Takehiro Imura Motoki Sato Daisuke Gunji

More information

Description

Description Metal Hybrid Inductor Description Metal Hybrid Inductor Magnetically shielded Suitable for Large Current Size: 4.3 x 4.3 x H2.1 mm Max. Product weight:.18g (Ref.) Halogen Free available Operating temperature

More information

塗装深み感の要因解析

塗装深み感の要因解析 17 Analysis of Factors for Paint Depth Feeling Takashi Wada, Mikiko Kawasumi, Taka-aki Suzuki ( ) ( ) ( ) The appearance and quality of objects are controlled by paint coatings on the surfaces of the objects.

More information

sumi.indd

sumi.indd S/N S/N CCDCMOS CCD CMOS & E-mail hirofumi.sumi@jp.sony.com & E-mail Tadakuni.Narabu@jp.sony.com & E-mail Shinichiro.Saito@jp.sony.com Hirofumi SUMI, Non - Member and Tadakuni NARABU, Member and Shinichiro

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

Mikio Yamamoto: Dynamical Measurement of the E-effect in Iron-Cobalt Alloys. The AE-effect (change in Young's modulus of elasticity with magnetization

Mikio Yamamoto: Dynamical Measurement of the E-effect in Iron-Cobalt Alloys. The AE-effect (change in Young's modulus of elasticity with magnetization Mikio Yamamoto: Dynamical Measurement of the E-effect in Iron-Cobalt Alloys. The AE-effect (change in Young's modulus of elasticity with magnetization) in the annealed state of iron-cobalt alloys has been

More information

燃焼圧センサ

燃焼圧センサ 49 Combustion Pressure Sensor Kouji Tsukada, Masaharu Takeuchi, Sanae Tokumitsu, Yoshiteru Ohmura, Kazuyoshi Kawaguchi π 1000N 150 225N 1 F.S Abstract A new combustion pressure sensor capable of measuring

More information

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S

Plastic Package (Note 12) Note 1: ( ) Top View Order Number T or TF See NS Package Number TA11B for Staggered Lead Non-Isolated Package or TF11B for S Overture 68W ( ) 0.1 (THD N) 20Hz 20kHz 4 68W 8 38W SPiKe (Self Peak Instantaneous Temperature ( Ke)) SOA (Safe Operating Area) SPiKe 2.0 V ( ) 92dB (min) SN 0.03 THD N IMD (SMTPE) 0.004 V CC 28V 4 68W

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

LM7171 高速、高出力電流、電圧帰還型オペアンプ

LM7171 高速、高出力電流、電圧帰還型オペアンプ Very High Speed, High Output Current, Voltage Feedback Amplifier Literature Number: JAJS842 2 1 6.5mA 4100V/ s 200MHz HDSL 100mA 15V S/N ADC/DAC SFDR THD 5V VIP III (Vertically integrated PNP) 19850223

More information

16.16%

16.16% 2017 (411824) 16.16% Abstract Multi-core processor is common technique for high computing performance. In many multi-core processor architectures, all processors share L2 and last level cache memory. Thus,

More information

日立金属技報 Vol.34

日立金属技報 Vol.34 Influence of Misorientation Angle between Adjacent Grains on Magnetization Reversal in Nd-Fe-B Sintered Magnet Tomohito Maki Rintaro Ishii Mitsutoshi Natsumeda Takeshi Nishiuchi Ryo Uchikoshi Masaaki Takezawa

More information

浜松医科大学紀要

浜松医科大学紀要 On the Statistical Bias Found in the Horse Racing Data (1) Akio NODA Mathematics Abstract: The purpose of the present paper is to report what type of statistical bias the author has found in the horse

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 64 No. 2 Nov. 2018 Optical Disc Archiving System with 100 Years Lifespan of Digital Data Takuto Yamazaki Yasushi Kobayashi Blu-ray Disc 1 Archival Disc 2 3300 GB 10012

More information

原稿.indd

原稿.indd OTEC 18(2013),5968 小型の波浪発電を想定した浮体運動の最大化を目的とした浮体形状に関する研究 59 *1 *1 *2 Studies on the floating body shape to maximize the kinetic energy that are intended to be small wave power generator Shunya NISHIZAWA

More information

音響部品アクセサリ本文(AC06)PDF (Page 16)

音響部品アクセサリ本文(AC06)PDF (Page 16) Guide for Electret Condenser Microphones A microphone as an audio-electric converting device, whose audio pickup section has a structure of a condenser consisting of a diaphragm and a back plate opposite

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

EH意見交換会_ishihara.pptx

EH意見交換会_ishihara.pptx 2 2-9D @23 @@CCC:9 44 9D @232 2! " "! " "! 1 2! " "! " "! 3!? " MPEG4 " 15% Hojun Shim, Youngjin Cho and Naehyuck Chang, "Power Saving in Hand-held Multimedia Systems Using MPEG-21 Digital Item Adaptation,"

More information

JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alterna

JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alterna JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alternative approach using the Monte Carlo simulation to evaluate

More information

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal Inrush Current of Induction Motor on Applying Electric Power by Takao Itoi Abstract The transient currents flow into the windings of the induction motors when electric sources are suddenly applied to the

More information

Fig, 1. Waveform of the short-circuit current peculiar to a metal. Fig. 2. Waveform of arc short-circuit current. 398 T. IEE Japan, Vol. 113-B, No. 4,

Fig, 1. Waveform of the short-circuit current peculiar to a metal. Fig. 2. Waveform of arc short-circuit current. 398 T. IEE Japan, Vol. 113-B, No. 4, Development of a Quick-Acting Type Fuses for Protection of Low Voltage Distribution Lines Terukazu Sekiguchi, Member, Masayuki Okazaki, Member, Tsuginori Inaba, Member (CRIEPI), Naoki Ikeda, Member, Toshiyuki

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

LM317A

LM317A 3 3 LM317A 3 LM317 1.2 37V 1.5A 3 IC 2 / IC AC IC 6 3 LM317 3-Terminal Adjustable Regulator LM117 19860710 33200 24060 11800 ds009063 Increase the print percent on all Typical Curves modified formatting

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

23_02.dvi

23_02.dvi Vol. 2 No. 2 10 21 (Mar. 2009) 1 1 1 Effect of Overconfidencial Investor to Stock Market Behaviour Ryota Inaishi, 1 Fei Zhai 1 and Eisuke Kita 1 Recently, the behavioral finance theory has been interested

More information

01 23A1-W-0012.indd

01 23A1-W-0012.indd Electrical Equipment for High Speed Rolling Stock 23A1-W-0012 1 Fuji Electric produces electrical equipment for Shinkansen (bullet) trains, contributing to the famously safe, high-speed operation of Shinkansen

More information

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth and Foot Breadth Akiko Yamamoto Fukuoka Women's University,

More information

1.7 D D 2 100m 10 9 ev f(x) xf(x) = c(s)x (s 1) (x + 1) (s 4.5) (1) s age parameter x f(x) ev 10 9 ev 2

1.7 D D 2 100m 10 9 ev f(x) xf(x) = c(s)x (s 1) (x + 1) (s 4.5) (1) s age parameter x f(x) ev 10 9 ev 2 2005 1 3 5.0 10 15 7.5 10 15 ev 300 12 40 Mrk421 Mrk421 1 3.7 4 20 [1] Grassberger-Procaccia [2] Wolf [3] 11 11 11 11 300 289 11 11 1 1.7 D D 2 100m 10 9 ev f(x) xf(x) = c(s)x (s 1) (x + 1) (s 4.5) (1)

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information