FPGA 概概 Tutorial TU0116 (v2.0) May 17, 2008 Innovation Station FPGA Altium Designer FPGA NanoBoard FPGA - FPGA Innovation Station Altium Designer Nano

Size: px
Start display at page:

Download "FPGA 概概 Tutorial TU0116 (v2.0) May 17, 2008 Innovation Station FPGA Altium Designer FPGA NanoBoard FPGA - FPGA Innovation Station Altium Designer Nano"

Transcription

1 FPGA 概概 Tutorial TU0116 (v2.0) May 17, 2008 Innovation Station FPGA Altium Designer FPGA NanoBoard FPGA - FPGA Innovation Station Altium Designer NanoBoard FPGA Innovation Station. NanoBoard FPGA NanoBoard LED FPGA FPGA Altium Designer FPGA FPGA - FPGA HDL 1 Altium Designer Altium Designer \Examples\Tutorials\Getting Started with FPGA Design 1 - TU0116 (v2.0) May 17,

2 NanoBoard NanoBoard LED NanoBoard NanoBoard DIP NanoBoard DIP NanoBoard 'DAUGHTER BD TEST/RESET' LED Desktop NanoBoard, TR0143 Technical Reference Manual for Altium's Desktop NanoBoard NB2DSK01 NanoBoard FPGA NanoBoard FPGA FPGA Altium Designer NanoBoard FPGA Actel Designer Libero IDE Altera Quartus II Quartus II Lattice isplever isplever Xilinx ISE Xilinx ISE WebPACK ( Altium Designer Tools Devices View» Devices View Vendor Tool Support : FPGA FPGA 2 TU0116 (v2.0) May 17, 2008

3 Altium Designer FPGA Altium Designer FPGA FPGA *.PrjFpg ASCII FPGA 1. FPGA File» New» Project» FPGA Project 2. Projects FPGA_Project1.PrjFpg Save Project Simple_Counter.PrjFpg Basic FPGA Design Tutorial : - _ FPGA HDL VHDL Verilog OpenBus - FPGA - Altium Designer FPGA PCB FPGA 1. Projects FPGA Add New to Project» Schematic 2. File» Save Simple_Counter.SchDoc 3. Projects Save Project 2 FPGA TU0116 (v2.0) May 17,

4 NanoBoard NB2DSK01 1 FPGA Generic FPGA Generic.IntLib Altium Designer \Library\Fpga 表 1. ツツツツツツツツツツツの回回回に必概なデデツツデツデデデツツ FJKC J-K 2 INV 6 J8B_8S Bus Joiner OR2N2S 2 OR Low A Low B 1 SR8CLED 8 / NanoBoard FPGA I/O FPGA NB2DSK01 Port-Plugin FPGA NB2DSK01 Port- Plugin.IntLib Altium Designer \Library\Fpga 表 2. ツツツツツツツツツツツの回回回に必概なデデツデツデデデツツ CLOCK_REFERENCE NanoBoard 20MHz 4 TU0116 (v2.0) May 17, 2008

5 DIPSWITCH LED TEST_BUTTON Desktop NanoBoard DIP 3 Desktop NanoBoard LED LED Desktop NanoBoard 'DAUGHTER BD TEST/RESET' D GND '0' 3 FPGA Generic FPGA NB2DSK01 Port-Plugin Libraries OK Place & Tools» Annotate Schematics Quietly 3 Simple_Counter.SchDoc 1. 4 Place» Wire Place» Bus Wiring TU0116 (v2.0) May 17,

6 4-2. Wiring GND TAB Power Port Style Bar 2 CLR 3. GND Bar CLR 4. Wiring GND Style Bar D[7..0] Spacebar 5 CLR GND 6 GND 5. SLI SRI Wiring No ERC U4 Wiring No ERC O3 O7 8 8 ERC 6 TU0116 (v2.0) May 17, 2008

7 7. 9 Place» Net Label Wiring File» Save All FPGA TU0116 (v2.0) May 17,

8 FPGA Altium Designer Options for FPGA Project Project» Project Options Error Reporting Connection Matrix : 1. Project» Compile FPGA Project Simple_Counter.PrjFpg 2. Messages 10 Options for FPGA Project Error Reporting Connection Matrix System Messages Compile Errors 3. Simple_Counter.SchDoc 11 SQ0 SQ7 SQ1 SQ6 SQ[7..0] 11 TR0142 Project Compiler Error Reference 4. 8 TU0116 (v2.0) May 17, 2008

9 FPGA FPGA ( ) Desktop NanoBoard NB2DSK01 3 FPGA NanoBoard NB2DSK01 NB2DSK01 NB2DSK01 NanoBoard FPGA FPGA FPGA Altium Designer NB2DSK : 2 NanoBoard NB2DSK01 AR0124 Design Portability, Configurations and Constraints NanoBoard NB2DSK01 AP0154 Understanding the Desktop NanoBoard NB2DSK01 Constraint System FPGA 1. - FPGA 3 NB2DSK NanoBoard USB ( ) PC 2. Devices View» Devices View Live Connected NanoBoard NanoBoard Configure Fpga Project» 12 Devices Simple_Counter.PrjFpg 12 2 NanoBoard NanoBoard Controllers Instrument Rack Board View NanoBoard Configuration Auto Configure FPGA Project Simple_Counter.PrjFpg TU0116 (v2.0) May 17,

10 13 NanoBoard NB2DSK01 NB2DSK01 13 NanoBoard Configuration Simple_Counter NanoBoard motherboard code_revision_daughter board code_revision NanoBoard NB2DSK01 8 Spartan-3 DB30 6 NB2DSK01_08_DB30_06 Altium Designer \Library\Fpga\NB2 Constraint Files Spartan-3 DB30 6 DB30.06.Constraint '_BoardMapping' NB2DSK01_08_DB30_06_BoardMapping.Constraint Simple_Counter.PrjFpg 10 TU0116 (v2.0) May 17, 2008

11 4. Configuration Manager Simple_Counter OK Settings Projects Constraint Files 5. FPGA 15 FPGA FPGA FPGA Devices 1. Altium Designer Devices View» Devices View 2. Live Connected FPGA 'Process Flow' FPGA Process Flow Process Flow FPGA Project / Configuration TU0116 (v2.0) May 17,

12 Project / Configuration Simple_Counter / ConfigurationName Simple_Counter / NB2DSK01_08_DB30_06 15 Process Flow 4 Process Flow Progran FPGA 3. Compile Design Compiler Messages 4. Symthesize VHDL EDIF FPGA Generated [ConfigurationName] EDIF Simple_Counter.edf VHDL Simple_Counter.vhd Simple_Counter_Synth.log 17 Messages 5. Build Altium Designer 18 Build 5 - Process Flow EDIF NGD Native Generic Database - FPGA FPGA - FPGA - - BIT Messages Output System Results Summary 12 TU0116 (v2.0) May 17, 2008

13 19 6. Program FPGA FPGA PC NanoBoard JTAG Altium Designer Devices Reset Programmed 'Program' LED 20 Devices AP0103 Processing the Captured FPGA Design 7. NanoBoard DIP - Switch 8: ON LED - Switch 7: ON LED - Switch 6: On 7 8 OFF 8. NanoBoard 'DAUGHTER BD TEST/RESET' LED 9. NanoBoard LED NanoBoard 20MH Mhz LED FPGA TU0116 (v2.0) May 17,

14 FPGA *PrjFpg Filename OpenBus VHDL Verilog VHDL NanoBoard Simple_Counter VHDL FPGA 1. Simple_Counter.SchDoc 2. Place» Sheet Symbol 3. Sheet Symbol - Designator : U_Clock_Divider - : Clock_Divider.SchDoc Place» Add Sheet Entry - Name: CLK_REF, I/O Type: Input - Name: CLK_OUT, I/O Type: Output 5. CLK_REF TU0116 (v2.0) May 17, 2008

15 23 Simple_Counter Clock_Divider.SchDoc : 6-9 Project Simple_Counter.PrjFpg Add Existing to Project. Choose Documents to Add to Project Clock_Divider.SchDoc Altium Designer \Examples\Tutorials\Getting Started with FPGA Design Sheet Symbol Actions» Create Sheet From Symbol Clock_Divider.SchDoc 2 CLK_REF CLK_OUT 7. Libraries 6 CDIV10DC50-50% 10 1 FPGA FPGA Generic.IntLib Clock_Divider.SchDoc 8. Tools» Annotate Schematics Quietly 9. File» Save All Clock_Divider.SchDoc Projects Clock_Divider.SchDoc Simple_Counter Devices Live Connection 13. FPGA Process Flow Ignore FPGA source Process Flow Ignore FPGA source Process Flow TU0116 (v2.0) May 17,

16 26 Ignore FPGA source Process Flow 14. FPGA Process Flow Program FPGA VHDL VHDL DIP 7 8 NanoBoard LED DIP HDL 27 VHDL HDL VHDL Verilog VHDL VHDL VHDL VHDLEntity VHDL Entity Verilog Verilog Verilog VerilogModule Verilog Module Mhz VHDL VHDL : 1-3 VHDL Project Simple_Counter.PrjFpg Add Existing to Project. Choose Documents to Add to Project Clock_Divider.SchDoc Altium Designer \Examples\Tutorials\Getting Started with FPGA Design 4 1. Projects Simple_Counter.PrjFpg Add New to Project» VHDL Document VHDL VHDL1.vhd Clock_Divider.vhd 2. VHDL library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Clock_Divider is port ( CLK_REF : in std_logic; CLK_OUT : out std_logic ); 16 TU0116 (v2.0) May 17, 2008

17 end entity; architecture RTL of Clock_Divider is begin process(clk_ref) variable i : integer range 0 to ; begin if rising_edge(clk_ref) then if i = 0 then CLK_OUT <= '1'; i := ; else CLK_OUT <= '0'; i := i - 1; end if; end if; end process; end architecture; 3. VHDL 4. Clock_Divider.SchDoc FPGA Projects Remove from Project 5. Simple_Counter Simple_Counter.SchDoc Delete 6. Design» Create Sheet Symbol From Sheet Or HDL Choose Document to Place Clock_Divider.vhd OK Designator Filename U_clock_divider Clock_Divider.vhd VHDLENTITY value = clock_divider VHDL 28 Simple_Counter Clock_Divider.vhd TU0116 (v2.0) May 17,

18 Projects VHDL Clock_Divider.vhd Simple_Counter 29 VHDL VHDL 11. Devices FPGA Process Flow Program FPGA 12. DIP 7 8 NanoBoard LED DIP 18 TU0116 (v2.0) May 17, 2008

19 - FPGA Hard Devices FPGA JTAG Viewer Live Update JTAG Viewer JTAG FPGA JTAG 1. Devices FPGA Hard Devices FPGA Instrument Rack Hard Devices FPGA Instrument 2. JTAG Viewer Panel JTAG Device Viewer Live Update Hide Unassigned I/O Pin FPGA LED LED 4. NanoBoard DIP TU0116 (v2.0) May 17,

20 FPGA Devices \Library\Fpga\FPGA Instruments.IntLib FRQCNT2 - I/O DIGITAL_IO - NanoBoard DIP 3 CR0101 FRQCNT2 Frequency Counter CR0179 DIGITAL_IO Configurable Digital IO Module VHDL 1. Simple_Counter Simple_Counter.SchDoc 2. Libraries FRQCNT2 FPGA Instruments FPGA Instruments.IntLib 3. Tools» Annotate Schematics Quietly CLK_OUT TIMEBASE NanoBoard CLK_REF / / 1. Libraries DIGITAL_IO 2. Tools» Annotate Schematics Quietly 3. Configure U13 (DIGITAL_IO) Digital I/O Configuration 33 8-bit AIN[7..0] 8-bit AOUT[7..0] 20 TU0116 (v2.0) May 17, 2008

21 33 / 4. AOUT[7..0] Remove 5. Count_Output[7..0] Style LEDs Color Green NanoBoard LED 6. DIP Signal 1 Name: Shift_Left, Style: LEDs, Color: Green. - Signal 2 Name: Shift_Right, Style: LEDs, Color: Green. - Signal 3 Name: STOP, Style: LEDs, Color: Red. Input Signal I/O S04 SO3 SO2 & DIP Off ON TU0116 (v2.0) May 17,

22 35 I/O JTAG Altium Designer FPGA JTAG NanoBoard JTAG Nexus JTAG NEXUS_TMS NEXUS_TCK NEXUS_TDI NEXUS_TDO NanoBoard NanoTalk Spartan-3 FPGA 4 NEXUS_JTAG_CONNECTOR 36 FPGA NB2DSK01 Port-Plugin \Library\Fpga\FPGA NB2DSK01 Port-Plugin.IntLib JTAG Nexus 2 NEXUS_JTAG_PORT 37 NEXUS_JTAG_CONNECTOR FPGA Generic \Library\Fpga\FPGA Generic.IntLib NEXUS_JTAG_PORT NEXUS_JTAG_DEVICE=True JTAG JTAG AR0130 PC to NanoBoard Communications 1. NEXUS_JATAG_CONNECTOR NEXUS_JTAG_PORT Simple_Counter 2. VCC NEXUS_JTAG_PORT TRST 36 Nexus JTAG 37 Nexus JTAG JTAG JTAG 22 TU0116 (v2.0) May 17, 2008

23 Messages SQ Digital I/O JTAG FPGA Altium Designer IEEE JTAG FPGA Nexus 5001 I/O Nexus - Soft Device - FPGA Devices Nexus FPGA 1. Devices FPGA 2. Soft Devices Soft Devices TU0116 (v2.0) May 17,

24 3. NanoBoard DIP Instrument Rack Soft Devices panel I/O 50Hz NanoBoard 20MHz MH 20Hz LED I/O LED NanoBoard 2 5. Counter Options Counter Module Options Counter Time Base MHz MHz TIMEBASE 20Hz 6. I/O Options Digital I/O Module Options Update Display From Core Every 250ms 100ms LED 42 TIMEBASE I/O 24 TU0116 (v2.0) May 17, 2008

25 FPGA Innovation Station FPGA Altium Designer NanoBoard 32 FPGA Innovation Station GU0123 An Introduction to Embedded Intelligence TU0122 TU0123 Creating a Core Component TU0126 Checking Signal Integrity on an FPGA Design TU0128 Implementing a 32-bit Processor-based Design in an FPGA TU0129 Converting an Existing FPGA Design to the OpenBus System TU0130 Getting Started with the C-to-Hardware Compiler TU0131 Capturing Video the Easy Way TU0133 Designing Custom FPGA Logic using C TU0135 FPGA 日日バデババツ番番変変変変 16-Jan Sep Clock divider components updated 18-Jan Components in Johnson_Counter.SchDoc updated 13-Apr Updated for Altium Designer 22-Aug Verilog references included 28-Nov Updated for Altium Designer 6 12-Apr Updated for Altium Designer May Updated for Altium Designer Summer 08. Project and schematic documents renamed to Simple_Counter.PrjFpg and Simple_Counter.SchDoc respectively. Tutorial content enhanced throughout. Section on virtual instrumentation added. ソソツツソソ ハデハツソソ 文文 おおお関関関関 Copyright 2008 Altium Limited. All Rights Reserved. 以以の注注文ききききに提提さささ文文きとの情情は 様々な形におさ国変 海海の知知知知知の保保 - 著著知の保保を含むむとさに限限ささなさ - む目知でで この注注文きの閲閲閲には 非非非知なラツラツツむ日付さささおさ このおこな文文きとの情情を との使使ににささ規限しさささ使使使使使使文 ( エツハエデデラツラツツソツツデエツツ ) に記記の目知のののに使使でさこきむできすで さいなさ場場におささき あなのにラツラツツささの文文いら あささはとの他の手手を利使しさ ツバデツエツバリソ 逆デツコツコ 複複 配配 派派派の著作を行ここきは 明明に規限ささの同注文におさ使使を得なさ限さできすりり いいさ制限制制む遵遵ささなさ場場 罰罰や実実を含む民民罰き実民罰の対対きなさこきむあさすで しいしなむら バババソババの目知に限さ 提提さささ文文のすのは情情を一一だだ記記に残し オツバオコデオデむ不不の場場のの との複複にソバラツし 利使でさこきは使許ささすで Altium Altium Designer Board Insight CA Mtastic CircuitStudio Design Explorer DXP Innovation Station LiveDesign NanoBoard NanoTalk OpenBus Nexar nvisage P CAD Protel SimCode Situs TASKING Topological Autorouting おおおとさおさに対対でさロロは Altium Limited すのはとの子子子の商商すのは登記商商でで 本文に記記さささささとさ以海の登記商商や商商はとさおさの所所閲の知知であさ 商商知を主主でさきのではあさすりり TU0116 (v2.0) May 17,

FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop

FPGA TU0135 (v1.0) FPGA Desktop NanoBoard FPGA CUSTOM_INSTRUMENT FPGA GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 FPGA Desktop TU0135 (v1.0) 2008 5 17 Desktop NanoBoard FPGA CUSTOM_INSTRUMENT GUI ( ) IO GUI IO DelphiScript Desktop NanoBoard NB2DSK01 Desktop NanoBoard 8 DIP LED DAUGHTER BD TEST/RESET DIP ( ) DAUGHTER BD TEST/RESET

More information

: 1. File» New» Schematic Files New Schematic Sheet Sheet1.SchDoc Projects Source Documents 2. File» Save As.SchDoc File Name Multivibrator.PrjPCB Sav

: 1. File» New» Schematic Files New Schematic Sheet Sheet1.SchDoc Projects Source Documents 2. File» Save As.SchDoc File Name Multivibrator.PrjPCB Sav Tutorial TU0117 (v2.3) May 21, 2008 PCB PCB D PCB Altium Designer PCB Altium Designer GU0112 Altium Designer PCB Altium Designer ( xxx.prjpcb ASCII CAM PCB FPGA (VHDL) PCB PCB PCB PCB : 1. File» New» Project»

More information

Report Manager Bill of Materials Component Cross Reference Report Manager Report Manager Grouped Columns All Columns 2 All Columns Report Manager 1. S

Report Manager Bill of Materials Component Cross Reference Report Manager Report Manager Grouped Columns All Columns 2 All Columns Report Manager 1. S Tutorial TU0104 (v2.3) May 16, 2008 Report Manager BOM Bill of Materials BOM Component Cross Reference Altium Designer Report Manager PDF Output Job Configuration Report Manager BOM PCB Altium Designer

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Tutorial TU0110 (v1.7) May 26, 2008 このチュートリアルでは 回路図エディタからデザイン情報を転送する前の準備として必要に応じて行う ボードシェイプの定義や作画シートの設定 レイヤの設定 キープアウトの設定方法などを紹介します PCB PCB PCB ボードシェイプ

Tutorial TU0110 (v1.7) May 26, 2008 このチュートリアルでは 回路図エディタからデザイン情報を転送する前の準備として必要に応じて行う ボードシェイプの定義や作画シートの設定 レイヤの設定 キープアウトの設定方法などを紹介します PCB PCB PCB ボードシェイプ Tutorial TU0110 (v1.7) May 26, 2008 このチュートリアルでは 回路図エディタからデザイン情報を転送する前の準備として必要に応じて行う ボードシェイプの定義や作画シートの設定 レイヤの設定 キープアウトの設定方法などを紹介します PCB PCB PCB ボードシェイプは 基板外形とも呼ばれ 基本的には閉じた多角形です Altium Designer File» New»

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

取扱説明書の読み替え一覧表

取扱説明書の読み替え一覧表 SCSI アレイコントローラカード取扱説明書 ( 追補版 ) PG-140BL PG-140C PG-140CL PG-141B PG-142B PG-142C PG-142D GP5-150 GP5-1501 GP5-151 はじめに Linux MicrosoftWindows NTMicrosoft Corporation NetwareNovell Copyright 1985-2001 Microsoft

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

TOPLON PRIO操作手順

TOPLON PRIO操作手順 TOPLON PRIO 2004/05/24 I/O LON WAGO TOPLON PRIO 1. 1) PCC-10 S/W 2) PC 3) PCC-10 4) Windows Lon WorksR Plug n Play Apply OK 5) Visio LonMaker LonPoint 6) TOPLON PRIO 2. IO-PRO SYM TOPLON-PRIO SNVT NVI

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows

Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows Systemwalker IT Service Management Systemwalker Centric Manager IT Service

More information

概要 Tutorial TU0106 (v1.6) April 20, 2008 このチュートリアルでは アナログフィルタデザインの回路図を作成して 回路シミュレーションをセットアップします 設定 解析の実行 を回路図上で行い 結果を波形アナライザで表示するまでをカバーします このチュートリアルでは

概要 Tutorial TU0106 (v1.6) April 20, 2008 このチュートリアルでは アナログフィルタデザインの回路図を作成して 回路シミュレーションをセットアップします 設定 解析の実行 を回路図上で行い 結果を波形アナライザで表示するまでをカバーします このチュートリアルでは 概要 Tutorial TU0106 (v1.6) April 20, 2008 このチュートリアルでは アナログフィルタデザインの回路図を作成して 回路シミュレーションをセットアップします 設定 解析の実行 を回路図上で行い 結果を波形アナライザで表示するまでをカバーします このチュートリアルでは 回路シミュレーション解析を実行するために回路図を作成することから始めます 最初に新規プロジェクトファイルを作成してからブランクの新規回路図シートを追加します

More information

VHDLと回路図キャプチャー

VHDLと回路図キャプチャー VHDL と回路図キャプチャー チュートリアル 1 Software, documentation and related materials: Copyright 2002 Altium Limited. All rights reserved. Unauthorized duplication, in whole or part, of this document by any means, mechanical

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

JAJP.indd

JAJP.indd Agilent Application Note 1....1 2. MIMO...2 2.1...2 2.2 MIMO...3 3. Agilent MIMO...4 3.1 P...4 3.2 U2000 USB...7 4....10 4.1 P...11 4.2 U2000...16 2 T 0 T 1 = 1.15 0.26 0.39 1.03 R 0 R 1 CB.log 2 1 C MIMO

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of

Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of software for embedded systems. Objectives Learn the

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

TECH_I Vol.25 改訂新版PCIデバイス設計入門

TECH_I Vol.25 改訂新版PCIデバイス設計入門 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity n is port( ); end entity n; architecture RTL of nis begin when : process begin end process :process begin end process

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

COINS 5 2.1

COINS 5 2.1 COINS (0501699) 20 21 2 5 1 3 1.1....................................... 3 1.2..................................... 4 1.3....................................... 4 2 COINS 5 2.1 COINS..................................

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

チュートリアル XP Embedded 入門編

チュートリアル XP Embedded 入門編 TUT-0057 Ver. 1.0 www.interface.co.jp Ver 1.0 2005 6 (,), Web site () / () 2004 Interface Corporation. All rights reserved. ...1...1 1. XP Embedded...2 2....3 2.1....3 2.2....4 2.2.1. SLD...4 2.3....5

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

PeakVHDL Max+Plus VGA VG

PeakVHDL Max+Plus VGA VG 2001 PC 9720002 14 2 7 4 1 5 1.1... 5 1.2... 5 1.3... 6 1.4... 6 2 7 2.1... 7 2.2... 8 2.2.1... 8 2.3... 9 2.3.1 PeakVHDL... 9 2.3.2 Max+Plus2... 9 3 VGA 10 3.1... 10 3.2 VGA... 10 3.3 VGA... 11 3.4 VGA...

More information

Scarlett...5 Mixing & Routing Output...8 Input...10 Scarlett Direct Routing Channel Analogue Channel Anal

Scarlett...5 Mixing & Routing Output...8 Input...10 Scarlett Direct Routing Channel Analogue Channel Anal Scarlett 1.1 ...3...4...4 Scarlett...5 Mixing & Routing...6...7 Output...8 Input...10 Scarlett...13...15 Direct Routing...15 2 Channel Analogue...18 8 Channel Analogue...19 Digital....20 Empty...20...21...21...22.......................................................

More information

LR DEVICE Version 1.1 706434 / 01 04 / 2017 1................................................ 3 1.1............................................... 3 2................................................ 3

More information

Report Template

Report Template 1 3 IPexpress 4 IPexpress... 4 IPexpress... 4 Ipexpress... 5 IP/Module tree... 5 Entry... 6 IPexpress... 7 IPexpress... 10... 10 IP... 10 lpc... 12... 13 IP 14 15 2 /IP 1-1 3 IPexpress IPexpress IPexpress

More information

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir

13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software Nspire Nspire Nspir 13 Student Software TI-Nspire CX CAS TI Web TI-Nspire CX CAS Student Software ( ) 1 Student Software 37 Student Software 37.1 37.1 Nspire Nspire Nspire 37.1: Student Software 13 2 13 Student Software esc

More information

データベースファイルからコンポーネントへのリンク

データベースファイルからコンポーネントへのリンク 概要 Tutorial TU0119 (v1.1) November 18, 2004 このチュートリアルでは 社内のコンポーネントデータベースから回路図に配置したコンポーネントへリンクさせる方法を説明します データベースリンクは 部品表 (BOM) に含めることができるコンポーネントパラメータへデータベースからデータを移行させることができます DXP では 外部にあるデータベースを PCB プロジェクトの回路図に配置されたコンポーネントにリンクさせることで

More information

DL1010.PDF

DL1010.PDF Delta 1010 24 Bit/96 khz PCI Digital I/O Card 2 M-AUDIO 3 Rack Unit 1. Power LED LED MIDI LED LED MIDI Delta 1010 MIDI MIDI LED LED MIDI Delta 1010 MIDI MIDI MIDI MIDI MIDI MTC Delta 1010 MIDI MIDI MIDI

More information

 

  LogicStudio Getting Started Manual December, 2010 LeCroy Corporation 700 Chestnut Ridge Road Chestnut Ridge, NY, 10977-6499 Tel: (845) 578-6020, Fax: (845) 578 5985 : 1 a)b)c) 90 : 2010 by LeCroy Corporation.

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page htt

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page   htt Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software_hardware/specview http://specview.stsci.edu/javahelp/main.html Specview

More information

- 2 Copyright (C) 2006. All Rights Reserved.

- 2 Copyright (C) 2006. All Rights Reserved. - 2 Copyright (C) 2006. All Rights Reserved. 2-3 Copyright (C) 2006. All Rights Reserved. 70-4 Copyright (C) 2006. All Rights Reserved. ...1...3...7...8 1...9...14...16 2...18...20...21 3...22...23...23...24

More information

内蔵ハードディスクユニット-20GB (PG-HD2E4H) 内蔵ハードディスクユニット-40GB (PG-HD4E4H)取扱説明書 HARD DISK DRIVE 20GB(PG-HD2E4H) HARD DISK DRIVE 40GB(PG-HD4E4H) USER'S GUIDE

内蔵ハードディスクユニット-20GB (PG-HD2E4H) 内蔵ハードディスクユニット-40GB (PG-HD4E4H)取扱説明書 HARD DISK DRIVE 20GB(PG-HD2E4H)  HARD DISK DRIVE 40GB(PG-HD4E4H) USER'S GUIDE B7FY-0351-02 J E J 1 J 1 2 3 2 4 J 3 4 Preface Thank you very much for purchasing the hard disk drive. This hard disk drive provides a IDE interface and can be installed in the 3.5-inch storage bay of

More information

Protel 99 SE スケマティックエディタ ガイドブック

Protel 99 SE スケマティックエディタ ガイドブック Schematic Protel 99 SE Protel 99 SE Protel 99 SE 基本操作 回路図入力 ( ) ( / ) 応用操作 & ( ) 1 ( ) ( ) ( ) (ERC) I/O ( ) PCB PCB UpDate PCB プリント / プロットアウト / 2 Protel 99 SE Protel 99 SE Protel 99 SE DDB() Protel 99

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

JAJP.qxd

JAJP.qxd Agilent E6601A Application Note ...2 E6601A...3...4...5...8 E6601A PC...17...17 GSM...18 1Windows XP Agilent E6601A E6601A E6601A Visual Studio.NET 2 E6601A Agilent E6601A 1Windows XP Professional Windows

More information

Revision

Revision Revision 0 Lattice Mico32 222-8561 1-6-3 1 045-470-9841 FAX 045-470-9844 ... 1... 1... 1 LatticeMico32... 2 Mico32... 3... 3... 4 Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)...

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

Microsoft Word - Lab110131b.doc

Microsoft Word - Lab110131b.doc 組み込みソフトウェア実践プロジェクト演習講座 本演習は Xilinx ISE Design Suite 12.3 (Embedded Edition) を対象としています Lab1: BSB を使った PowerPC システムの構築 この Lab で習得する事 BSB(BaseSystemBuilder) を使ったツール基本操作と FPGA へのダウンロード手順を習得します 実習ボード設定 実習ボードのスイッチは以下のように設定してください

More information

WinPSKユーザーズガイド

WinPSKユーザーズガイド 8 ae4jy@mindspring.com - 1 - - 2 - 5 5.. 5 5 5.. 6 6 1 1 1 1 1 1 1 1-3 - Status Bar 36-4 - Peter Martinez, WinPSK MHz Pentium Windows95,98 NT4.0 DX VGA640x480 Help MB RAM CPU WinPSK CPU CPU Too Slow CPU

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

NKK NEWS 2012

NKK NEWS 2012 2012Spring 42 CONTROLS SINGLE POINT OF CONTROL (S.P.O.C.) Introduction / Index INDEX Module Versions: C / D BECAUSE CONTROL IS LOGIC! www.42controls.com Introduction... 2 Console Desktop Version... 3

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

HARK Designer Documentation 0.5.0 HARK support team 2013 08 13 Contents 1 3 2 5 2.1.......................................... 5 2.2.............................................. 5 2.3 1: HARK Designer.................................

More information

フリップフロップ

フリップフロップ 第 3 章フリップ フロップ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2005/10/17 2006, Masaharu Imai 1 講義内容 フリップ フロップの基本原理 RS フリップ フロップ D ラッチ D フリップ フロップ JK フリップ フロップ T フリップ

More information

VSamp Version: Hiroaki Koyama 1

VSamp Version: Hiroaki Koyama 1 VSamp Version:3.2.6 http://www.kagi.com/smaug/vsamp Hiroaki Koyama 1 3 4 VSamp 4 VSampVST 4 5 5 MIDI 5 MacOS X 5 MacOS 7 8 9 5 6 6 7 7 7 MIDI 7 VST 8 8 8 MIDI 8 VSamp 9 9 9 Amplitude 11 Filter 12 Tuning

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 7 8 1 1 2 1 10 1 3 1 11 2 12 2 3 1 13 2 14 2 3 1 15 2 16 2 3 1 17 2 1 2 3 4 5 18 2 6 7 8 3 1 1 2 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3 1 27 2 28

More information

Copyright 2005 by Creative Technology Ltd. All rights reserved. Creative Creative MediaSource Creative Technology Ltd. Microsoft Windows Windows Media Outlook Windows Microsoft Corporation Intel Pentium

More information

<Documents Title Here>

<Documents Title Here> Oracle Application Server 10g Release 2 (10.1.2) for Microsoft Windows Business Intelligence Standalone Oracle Application Server 10g Release 2 (10.1.2) for Microsoft Windows Business Intelligence Standalone

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

Quartus IIプロジェクトのマネージング

Quartus IIプロジェクトのマネージング 4. Quartus II QII52012-7.2.0 FPGA 1 2 FPGA FPGA Quartus II Quartus II 1 1 1 1 Quartus II Quartus II Quartus II Quartus II 4 1 Altera Corporation 4 1 Quartus II Volume 2 4 1. Quartus II Quartus II Project

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

MAP2496.PDF

MAP2496.PDF Audiophile 2496 24 Bit 96 khz 4 in/ 4 out PCI Digital Recording Interface with MIDI 2 M-AUDIO 3 PCI 1. INS1&2 IN1 IN2 2. OUTS1&2 OUT1 OUT2 3. 15 D-sub S/PDIF MIDI 4. S/PDIF S/PDIF DAT MD A/D S/PDIF 5.

More information

Mac OS...4 Windows Mac OS...6 Windows....6 Scarlett 2i4...7 DAW Scarlett 2i

Mac OS...4 Windows Mac OS...6 Windows....6 Scarlett 2i4...7 DAW Scarlett 2i FFFA001395-01 ...3...3...3...4...4 Mac OS...4 Windows....4...5...5 Mac OS...6 Windows....6 Scarlett 2i4...7 DAW...7...9....9...10 Scarlett 2i4................................................... 10 Scarlett

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

VMware View Persona Management

VMware View Persona Management VMware View Persona Management View Persona Management...................................... 3.......................................................... 3 View Persona Management..............................................

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

RT-PCR プロトコール.PDF

RT-PCR プロトコール.PDF Real -Time RT-PCR icycler iq Bio Rad RT-PCR RT-PCR 1 icycler iq Bio Rad icycler iq 30 2 Ready-To-Go T-Primed First-Strand Kit (amersham pharmacia biotech) Ready-To-Go T-Primed First-Strand Kit QuantiTect

More information

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法 ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3.

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

00.目次_ope

00.目次_ope 816XL ii iii iv iv User Entry 1 3 v vi vii viii 1 1 C: >VTTERM 1- 1 1-3 1 1-4 1 1-5 1 1-6 1 1-7 1 1-8 1 1-9 1 1-10 C: >VTN 1 Host Name: 1-11 1 01 1-1 0.0.0.0 1 1-13 1 1-14 - -3 Port status and configuration

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

Mobilelron® Virtual Smartphone Platform 向けDigiCert® 統合ガイド

Mobilelron® Virtual Smartphone Platform 向けDigiCert® 統合ガイド Mobilelron Virtual Smartphone Platform DigiCert 2015 11 18 Mobilelron Virtual Smartphone Platform DigiCert 2015 11 18 Copyright 2018 DigiCert, Inc. All rights reserved. DigiCert DigiCert DigiCert, Inc.

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

意外と簡単!?

意外と簡単!? !?Access Oracle Oracle Migration Workbench MS-Access Oracle Creation Date: Oct 01, 2004 Last Update: Mar 08, 2005 Version: 1.1 !? Oracle Database 10g / GUI!? / Standard Edition!? /!?!? Oracle Database

More information

MAX11014 EV.J

MAX11014 EV.J 19-4147; Rev 1; 9/08 PART MAX11014EVKIT+ TYPE EV Kit DESIGNATION QTY DESCRIPTION C1, C8, C11 C14, C18, C22, C23, C34 C43, C45, C51 C2, C7, C15, C16, C17, C21, C26, C27, C31, C33, C44, C46, C47, C48, C52,

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information