PowerPoint プレゼンテーション

Size: px
Start display at page:

Download "PowerPoint プレゼンテーション"

Transcription

1 STRJ ITRS 2003 LSI MIRAI

2 100nmCMOS - Si SOI CMOS SOI MOSFET CMOS

3 100nmCMOS

4 trade-off Sub 100 nm CMOS trade-off x j (ext. conc.) Nsub Vdd Vth design EOT S or Si Nsub EOT

5 something S/D EOT SiGe high k Si SiGe SOI (2 Fin etc.

6 MOSFET Si SiGe Ge PD-SOI SOI FD-SOI (, FinFET, GAA etc.)

7 List of (Column IV) High Mobility Channels applicable to MOSFETs n-mosfet (high electron mobility) strained Si on relaxed Si 1-x Ge x virtual sub. pure Ge channel? (Ge problem in MOS interface high k / Ge MIS?) p-mosfet (high hole mobility) strained Si on relaxed Si 1-x Ge x virtual sub. strained Si 1-x Ge x on Si sub. (Si 1-x Ge x buried channel problems in C g, SCE etc.) pure Ge channel? strained-si channel for CMOS application (surface channel SiGe pmos and pure Ge CMOS under new gate insulator technology)

8 ITRS Technology Booster Factors ASIC HP (High Performance) (ITRS 2003 Edition) (nm) MOSFET (nm) Mobility Improve F. 1x 1.3x 2.0x 2.0x 2.0x 2.0x Velocity Improve F. 1x 1x 1x 1.1x 1.1x 1.3x Eeff reduction F. 1x 1x 1x 0.6x 0.5x 0.5x Device Structure Bulk Bulk Bulk SOI DG DG I dsat 0 V dsat = L 1 µ 2 = 1 E eff C d + V ox _ el c gt W L E c V gt V 2ν µ eff dsat µ eff K mu µ eff 0 ν sat = K ν sat = Vs sat0 = mobility imp. F. velocity imp. F.

9 v s : v s = µ s E s N s source I sat = qn s source v s µ s % Mobility Shift Velocity vs. mobility shift for 45-nm NFET under applied uniaxial strain, δv/δµ= (at L g of sub 100 nm) I sat µ +4 Vgs = 1V Vgmi +2 0 % Velocity Shift Lochefeld et al., EDL(2001) Vidi

10 Si Yamada et al, TED(1994)1513 Rim et al., TED(2000)1406 ν sat ν sat τ w

11 - Si SOI CMOS

12 SiGe MOSFET SOI G SiO 2 S n + poly-si n + Si n + Si 1-x Ge x SiGe Si Ge: 0 % x % Mizuno et al., IEDM(1999)934 Si Mizuno et al., EDL-21(2000)230 Takagi, IJHSES-10(2000)155 J. Welser et al., IEDM(1992) 1000 Takagi, IEICE, E85-C(2002)1064 D G SiO 2 S gate D p + n + Si n p + Si 1-x Ge x SiO 2

13 <100> spin -orbit Influence of Strain on Conduction and Valence Band Structures <001> E C <001> <010> degenerate E V strained Si SiGe 4 fold 6 fold degenerate heavy hole light hole without strain 2fold 2 fold <100> out-ofplane spin -orbit <001> <010> light k in-plane heavy tensile strain mobility enhancement reduction in (averaged) conductivity mass suppression of inter-valley scattering

14 Methods for Preparing Strained-Si Layers Bulk relaxed SiGe buffer technology - SiGe graded buffer technique - other techniques (low temperature buffer, SiGe buffer including damaged layer etc.) Relaxed SiGe-On-Insulator (SGOI) technology - Wafer bonding - Thermal melting of SiGe/SOI - SIMOX for SiGe/Si substrates - Ge condensation due to oxidation Single-layer strained-soi technology - Wafer bonding Other technologies - Use stressors (STI, capping layer(s), SiGe S/D, silicides, poly-si gate etc.)

15 Si CMOS % Ion-Ioff improvement 100nm MOS Si trade-off 18% τ pd RO τ pd 6.5ps (T. Sanuki et al., IEDM2003, p. 65) (Wang et al., IEDM2003, p. 61)

16 SOI SOI substrates SiGe/Si substrates BOX SOI sub. BOX Si Si sub. Si 1-x Ge x (x>0.1) Si Si sub. Oxide (SiO 2 ) Higher Ge content Si 1-x Ge x (x>0.3) slip conventional SOI sub. SiGe epitaxy (Ge condensation) oxidation Ge SiGe epitaxy on Si sub SIMOX process Strained-Si epitaxy Si 1-x Ge x (x<0.15) Si sub. Si 1-x Ge x (x<0.1) Si sub. thin and strained SiGe O + implantation + high T anneal BOX strained Si layer

17 200 mm strained SOI wafer 1 T SGOI / T Si =90nm / 25nm Strain (%) x eff Ge condensation for SiGe/SOI + regrowth of strained Si T Strained Si /T SGOI = 25nm/90nm effective Ge content: 21% Position (mm) Variation in strain Uniform in wafer scale Void free

18 Si MOSFET SiGe S/D pmos Intel, IEDM02, 03) Si STI capping layer, silicide SOI MOSFET (IEDM01) (02) IBM, Intel, TSMC, Princeton, 03) AMD, IBM (IEDM03)

19 Application of Strained-Si FET into 90 nm TN (Intel) (S. Thompson et al., IEDM2002, p. 61, T. Ghani et al., IEDM2003, p. 978) high hole mobility enhancement of 50 % even in high E eff at 17% of Ge content 20 % Ion improvement for both nmos and pmos pmos compressive strain due to SiGe S/D nmos tensile strain due to SiN films nmos pmos mobility vs E eff pmos

20 Strained-Si Directly-On-Insulator MOSFET ( K. Rim et al., IEDM (2003) p. 49) Fabrication of bonded single strained-soi sub. by smart cut Demonstration of n- and p-mosfets with L g of 60 nm

21 MOSFET SOI

22 MOSFET Wong et al, IEDM 1997 Lee et al, IEDM 1999 Hergernrother et al, IEDM 1999 Hisamoto et al, IEDM 1998, Huang et al, IEDM 1999

23 SOI SOI FD SOI (single gate) T SOI ~ L g /4 - L g /3 FinFET (double gate) T SOI (Fin T SOI ~ L g /2 - L g /1.5 SOI D. Hisamoto, T SOI =0.7L min (= L min /1.5 ) IEDM short course (2003)8

24 SOI 10nmMOSFET B. Doris et al., IEDM2002, p. 267 L g = 6 nm, 12 nm L g = 14 nm

25 Electron Mobility [cm 2 /Vsec] SOI MOSFET (K. Uchida, H. Watanabe, A. Kinoshita, J. Koga, T. Numata and S. Takagi, IEDM(2002) 47) 4.08nm 3.37nm 2.99nm ~60nm T = 300K T SOI = 2.48nm Universal Mobility Enhancement Effective Field [MV/cm] Hole Mobility [cm 2 /Vsec] ~60nm 7.03nm 5.49nm T = 300K Universal 3.57nm 3.08nm 2.88nm T SOI = 2.72nm Effective Field [MV/cm] SOI

26 Electrical Properties of sub-1 nm Extremely-thin SOI (K. Uchida, J. Koga, and S. Takagi, IEDM (2003) p. 805) 1.0 nm 0.7 nm

27 SOI ~ K. Uchida et al., IEDM (2002)47 (a) (b) GOX SOI BOX Interface roughness Thickness fluctuation E C [ev] 0.15 Potential fluctuation V 0.1 (c) T SOI [nm] potential barrier due to quantum confinement effect Potential barrier due to larger quantum confinement effect E = T Electrons 1 6 δt SOI -limited 0.05 mobility µ r µ r TSOI Thermal Energy V 0 SOI E F = E C E n = 2 n h V * 3 SOI 4m TSOI h * 2 8m tsoi electrons Si/SiGe; A. Gold, Phys. Rev. B35 (1987)723 GaAs/AlAs; H. Sakaki et al., APL (1987)1934 2

28 Mobility [cm 2 /Vsec] 1000 SOI 6 T SOI T=25K E eff =0.1MV/cm δt SOI [atomic layer] δt SOI should be smaller than this line 4 5 T SOI [nm] SOI 4nm MOSFET T SOI [nm] SOI T 6 SOI 3 SOI 6 7

29 MOSFET

30 MOSFET Ballistic S D S D CMOS Ballistic Ballistic Efficiency for L g of nm (Natori, SSDM2002, p.17) for L g of 50 nm (Antoniadis, VLSI Symp.2002, p.2) v inj N source s I sat = qn s source v inj Ballistic

31 Carrier Injection Velocity [ cm/s ] Ballistic MOSFET 5x10 7 4x10 7 3x10 7 2x10 7 v Ballistic (100) 2 1/2 N s K. Natori, JAP76 (1994) 4879 inj k B T 12 v 2 th mx π V th 1/ 2 1.5x10 7 E 0 E V (ii) 0 F D 2 DOS 2D 4 4 4Ns vinj = vf = 3π 3π m 10 7 xd2 D M v D D = mxm 2 y Ns [ cm -2 ] E F (i) πh M v

32 I-V curves under full ballistic transport Drain Saturation Current [ µa/µm ] (S. Takagi, VLSI Symp. (2003) 115) Ion (45 nm TN) (2002) (100) Si Strained Si (Ge=20%) (111) Ge (100) SOI (3nm) (111) GOI (3nm) I off = 3µA/µm const. T OX = 0.5 nm V g [ V ] Even under ballistic transport, SOI, strained Si, Ge, and GOI can provide higher current drive, because of higher injection velocity Ultra-thin GOI MOSFET is one of the most promising device structures beyond 45 nm TN

33 CMOS

34 Planar technology Non-planar technology Future New Channel Structure Families New channel materials SOI-based devices 3D structure (DG) devices ( S. Takagi et al., IEDM (2003) 57 ) PDSOI bulk Strained- Si, SiGe, SiGeC MOS FDSOI UTB SOI back gate controlled FDSOI Gate All Around MOS FinFET vertical FET strained-soi, SGOI PD Ge channel MOSFET strained-soi, SGOI FD GOI Ge-On- Insulator) MOS Strained SOI /GOI CMOS 3D strained-soi, SGOI, GOI MOS Technology Node

35 Strained-Si on nothing (SSON) Structure Strained-Si on nothing (SSON) structure applicable to DG strained-si MOSFET Confirm strain of % in SSON region by nano-ed (electron diffraction) method relaxed SiGe Si sub. Gate electrode SSON channel BOX Strained-Si-on-nothing region K. Usuda et al., SOI conference 2003, p. 138 Si 0.72 Ge 0.28 layer Strained-Si layer BOX

36 Hole Mobility in SiGe-On-Insulator p-mosfet Strained-SiGe channel p-mosfet 2.3 time higher µ eff Surface channel structure (SiO 2 /SiGe interface) higher N ss Fully-depleted operation (T SiGe 20 nm) poly-si gate source SiGe SiO 2 Si gate oxide drain T. Tezuka, N. Sugiyama, T. Mizuno and S. Takagi, IEDM (2001) p. 946 Effective mobility (cm 2 /Vs) L/W=100/118 µm V d =-10 mv Effective field (V/cm) Improvement and understanding of SiO 2 /SiGe interface properties are important pmosfet x=0.42 x=0.35 x=0.28 universal Si control

37 High k / Ge MISFETs C.-O. Chui et al., IEDM (2002) 437 A. Ritenour et al., IEDM (2003) 433 high k / Ge MIS high k / Ge MISFET

38 Dual channel CMOS using pure Ge pmos Strained Si Strained SiGe or Ge Relaxed SiGe (50% Ge) Dual Channel C.W. Leitz et al., MRS Proc. 686(2002)113 M.J. Lee et al., IEDM (2003) 429 Very high hole mobility can be obtained for strained-sige p- MOSFETs with high Ge contents

39 GOI (Ge-On-Insulator) Structure fabricated by Ge Condensation Technique SiGe Ge condensation Ge SiO 2 SiO 2 SOI BOX Si sub. SiGe BOX Si sub. Ge BOX Si sub. Cross-sectional TEM SiO 2 100nm Surface Oxide Ge BOX Residual Si conc. < 0.01 % S. Nakaharai et al., Appl. Phys. Lett., vol. 83 (2003) 3516 Cross-sectional TEM 10 nm 100nm 7 nm 7nm Surface Oxide Ge Ge BOX BOX

40 70nm CMOS Si MOS near term SOI CMOS Si SOI SOI Si GOI MOSFET NEDO

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt シリコンナノワイヤ pfet における正孔移動度 平本俊郎陳杰智, 更屋拓哉東京大学生産技術研究所 hiramoto@nano.iis.u-tokyo.ac.jp 1. ナノワイヤトランジスタの位置付け 2. ナノワイヤ FET の移動度測定 3. ナノワイヤ nfet と pfet の移動度 4. まとめ 本研究の一部は,NEDO のプロジェクト ナノエレクトロニクス半導体材利用 新構造なの電子デバイス技術開発

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

untitled

untitled Tokyo Institute of Technology high-k/ In.53 Ga.47 As MOS - Defect Analysis of high-k/in.53 G a.47 As MOS Capacitor using capacitance voltage method,,, Darius Zade,,, Parhat Ahmet,,,,,, ~InGaAs high-k ~

More information

Influence of Material and Thickness of the Specimen to Stress Separation of an Infrared Stress Image Kenji MACHIDA The thickness dependency of the temperature image obtained by an infrared thermography

More information

MOSFET HiSIM HiSIM2 1

MOSFET HiSIM HiSIM2 1 MOSFET 2007 11 19 HiSIM HiSIM2 1 p/n Junction Shockley - - on-quasi-static - - - Y- HiSIM2 2 Wilson E f E c E g E v Bandgap: E g Fermi Level: E f HiSIM2 3 a Si 1s 2s 2p 3s 3p HiSIM2 4 Fermi-Dirac Distribution

More information

untitled

untitled MOSFET 17 1 MOSFET.1 MOS.1.1 MOS.1. MOS.1.3 MOS 4.1.4 8.1.5 9. MOSFET..1 1.. 13..3 18..4 18..5 0..6 1.3 MOSFET.3.1.3. Poon & Yau 3.3.3 LDD MOSFET 5 3.1 3.1.1 6 3.1. 6 3. p MOSFET 3..1 8 3.. 31 3..3 36

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 61 回応用物理学会 青山学院大学相模原キャンパス 春季学術講演会 2014 年 3 月 18 日 ( 火曜日 ) La 2 O 3 /InGaAs 界面ラフネスに及ぼす ALD プロセスの影響 Impact of ALD process on La 2 O 3 /InGaAs interface roughness 大嶺洋 1,Dariush Hassan Zadeh 1, 角嶋邦之 2, 片岡好則

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 63 No. 1 May 2017 Development of Simultaneous-Capture Wide-dynamic-range Technology and Global Shutter Technology for Organic Photoconductive Film Image Sensor Masashi

More information

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI)

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI) DA DA シンポジウム 25 27 DAS25 Design Automation Symposium 25/8/26 28nm UTBB FDSOI SOI 28nm UTBB FDSOI Analysis of Soft Error Rates in a 28nm UTBB FDSOI Structure by DeviceLevel Simulation Shigehiro Umehara

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Drain Voltage (mv) 4 2 0-2 -4 0.0 0.2 0.4 0.6 0.8 1.0 Gate Voltage (V) Vds [V] 0.2 0.1 0.0-0.1-0.2-10 -8-6 -4-2 0 Vgs [V] 10 1000 1000 1000 1000 (LSI) Fe Catalyst Fe Catalyst Carbon nanotube 1~2 nm

More information

untitled

untitled Recent Our Activities in Si FET Research November 3, 29 IIT Madras, India Hiroshi Iwai Tokyo Institute of Technology Europe 78 Asia 847 North America 12 Africa 16 Oceania 5 South America 24 Total 982 (As

More information

Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 (

Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 ( Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 ( トレセンティーテクノロジーズ ) 内田英次 ( 沖 ) 宮武浩 / 藤原伸夫 ( 三菱 ) 北島洋 (Selete)

More information

ELECTRONIC IMAGING IN ASTRONOMY Detectors and Instrumentation 5 Instrumentation and detectors

ELECTRONIC IMAGING IN ASTRONOMY  Detectors and Instrumentation   5 Instrumentation and detectors ELECTRONIC IMAGING IN ASTRONOMY Detectors and Instrumentation 5 Instrumentation and detectors 4 2017/5/10 Contents 5.4 Interferometers 5.4.1 The Fourier Transform Spectrometer (FTS) 5.4.2 The Fabry-Perot

More information

スライド 1

スライド 1 Matsuura Laboratory SiC SiC 13 2004 10 21 22 H-SiC ( C-SiC HOY Matsuura Laboratory n E C E D ( E F E T Matsuura Laboratory Matsuura Laboratory DLTS Osaka Electro-Communication University Unoped n 3C-SiC

More information

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 Encore SSIS 10 c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 SSIS NOSIDE PR SSIS SSIS PR 2000 5SSIS SSIS 1 2001 5 8 3 2004 SSIS 1 2 SSIS 24 SSISPR

More information

1

1 2-2 Atom Optics and Atom Lithography OHMUKAI Ryuzo and WATANABE Masayoshi High-resolution atomic channeling using velocity-selected atoms may be able to overcome precision limitations of the conventional

More information

3次元LSI集積化技術

3次元LSI集積化技術 3 LSI 3D LSI Integration Technology あらまし LSI 33DI LSI Si TSV Wafer on Wafer WOW 3 45 nm CMOS LSI FeRAM 10 m 200 3 LSI Abstract The conventional enhancement of LSIs based on Moore s Law is approaching its

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

T05_Nd-Fe-B磁石.indd

T05_Nd-Fe-B磁石.indd Influence of Intergranular Grain Boundary Phases on Coercivity in Nd-Fe-B-based Magnets Takeshi Nishiuchi Teruo Kohashi Isao Kitagawa Akira Sugawara Hiroyuki Yamamoto To determine how to increase the coercivity

More information

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 42, No. 1, 2008 Li 2 B 4 O 7 (LBO) *, ** * ** ** Optical Scatterer and Crystal Growth Technology of LBO Single Crystal For Development with Optical Application

More information

COE

COE COE COOL05 MD @ @ @ @ n ν x, y 2 2 International Workshop on Beam Cooling and Related Topics ( COOL05) General Topics Overview. S-LSR Report from Lab Report from Lab Electron Cooling Muon Cooling

More information

燃焼圧センサ

燃焼圧センサ 49 Combustion Pressure Sensor Kouji Tsukada, Masaharu Takeuchi, Sanae Tokumitsu, Yoshiteru Ohmura, Kazuyoshi Kawaguchi π 1000N 150 225N 1 F.S Abstract A new combustion pressure sensor capable of measuring

More information

untitled

untitled 2013 74 Tokyo Institute of Technology AlGaN/GaN C Annealing me Dependent Contact Resistance of C Electrodes on AlGaN/GaN, Tokyo Tech.FRC, Tokyo Tech. IGSSE, Toshiba, Y. Matsukawa, M. Okamoto, K. Kakushima,

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

hν 688 358 979 309 308.123 Hz α α α α α α No.37 に示す Ti Sa レーザーで実現 術移転も成功し 図 9 に示すよ うに 2 時間は連続測定が可能な システムを実現した Advanced S o l i d S t a t e L a s e r s 2016, JTu2A.26 1-3. 今後は光周波 数比計測装置としてさらに改良 を加えていくとともに

More information

Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been s

Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been s Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been studied using a volume constant technique. The process

More information

Introduction to Microfabrication

Introduction to Microfabrication 2005 Introduction to Microfabrication 1 1.1 Microfabrication disciplines Microfabrication technologies IC industry and related industries MEMS, solar cells, flat-panel displays, optelectronics In-plane

More information

2 3 v v S i i L L S i i E i v L E i v 3. L urren (A) approx. 60% E = V = 0 Ω L = 00 mh urren (A) app

2 3 v v S i i L L S i i E i v L E i v 3. L urren (A) approx. 60% E = V = 0 Ω L = 00 mh urren (A) app 3 ON ON L * 3. v() = i() (3.) 3.2 L 3. L = 0 S i() = i () = i L () v () L v L () = 0 L v () = i(), (3.4) v L () = L d i(). (3.5) d v () + v L () = E, (3.6) i () = i L () = i(). (3.7) L d i() + i() = E.

More information

16 (16) poly-si mJ/cm 2 ELA poly-si super cooled liquid, SCL [3] a-si poly-si [4] solid phase crystalization, SPC [5] mJ/cm 2 SPC SCL (di

16 (16) poly-si mJ/cm 2 ELA poly-si super cooled liquid, SCL [3] a-si poly-si [4] solid phase crystalization, SPC [5] mJ/cm 2 SPC SCL (di (15) 15 ELA により形成された poly-si 結晶成長様式 - グレイン形状と水素の関係 - Crystal Growth Mode of Poly-Si Prepared by ELA -Relationship between the Grain Morphology and ydrogens- Naoya KAWAMOTO (Dept. of Electrical and Electronic

More information

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2 Introduction TEG 2005.10.4 @KEK 1 1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2005.10.4 @KEK 2 SOI 2005.10.4 @KEK 3 SOI : Smart Cut (UNIBOND) by SOITEC Low-R Hi-R 2005.10.4

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

Fig. 1 Structure of a Sebaceous Follicle (Ref.1).

Fig. 1 Structure of a Sebaceous Follicle (Ref.1). Importance and Countermeasures for Sebum Control in Application of Makeup Cosmetics Koichi NOMURA POLA Chemical Industries, Inc., R&D Planning Department 27-1, Takashimadai, Kanagawa-ku, Yokohama 221-0833,

More information

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm Neutron Visual Sensing Techniques Making Good Use of Computer Science J-PARC CT CT-PET TB IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm cm cm barn cm thn/ cm s n/ cm

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 12 回窒化物半導体応用研究会 2011 年 11 月 10 日 ノーマリオフ型 HFET の高性能化 前田就彦 日本電信電話株式会社 NTT フォトニクス研究所 243-0198 神奈川県厚木市森の里若宮 3-1 E-mail: maeda.narihiko@lab.ntt.co.jp 内容 (1) 電力応用におけるノーマリオフ型デバイス (2) / HFETにおけるノーマリオフ化 - デバイス構造のこれまでの展開

More information

渡辺(2309)_渡辺(2309)

渡辺(2309)_渡辺(2309) [ 29 p. 241-247 (2011)] ** *** ** ** Development of a nickel-based filler metal containing a small amount of silicon by WATANABE Takehiko, WAKATSUKI Ken, YANAGISAWA Atsusi and SASAKI Tomohiro Authors tried

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション () 増幅回路の周波数特性 Frequency characteristic of amplifier circuit (2) 増幅回路の周波数特性 Frequency characteristic of amplifier circuit MOS トランジスタの高周波モデル High-frequency model for MOS FET ゲート酸化膜は薄いので G-S, G-D 間に静電容量が生じる

More information

CuおよびCu‐Sn系化合物のSn‐Pbはんだ濡れ性解析

CuおよびCu‐Sn系化合物のSn‐Pbはんだ濡れ性解析 61 Wettability of Cu and Cu-Sn Intermetallic Compound by Sn-Pb Solder Alloy Hisaaki Takao, Nobuyuki Yamamoto, Hideo Hasegawa CuCu-Sn Cu 150 C 2h55nmCu 2 O Cu Cu-Sn 5nm Cu-Sn Cu SnCu-Sn Wettability of Cu

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 1 45nm 2 2004 2007 2010 90* 65 45 4 10 25 * 100 10001 H16 45 2001-2003 2004-2007 65 45 MIRAI 3 Cu n+ n+ p+ p+ p n nmos pmos ITRS 2004 2007 2010 2013 2016 3.5 k 3.0 2.5 2.0 ITRS2001 ITRS2003 Low-k 1.5 1.0

More information

プラズマ核融合学会誌11月【81‐11】/小特集5

プラズマ核融合学会誌11月【81‐11】/小特集5 Japan Atomic Energy Agency, Ibaraki 311-0193, Japan 1) Kyoto University, Uji 611-0011, Japan 2) National Institute of Advanced Industrial Science and Technology, Tsukuba 305-8569, Japan 3) Central Research

More information

環境負荷低減に向けた低温接合技術

環境負荷低減に向けた低温接合技術 Low-Temperature Soldering Technology for Environmental Burden Reduction あらまし Sn - Bi Sb.5 mass Sn-Sb Sn-Bi Sn-Bi4 4 PC Sn - Ag - Cu 4518 3 Sn-Bi-Sb Abstract Fujitsu has successfully developed a new lead-free

More information

磁気測定によるオーステンパ ダクタイル鋳鉄の残留オーステナイト定量

磁気測定によるオーステンパ ダクタイル鋳鉄の残留オーステナイト定量 33 Non-destructive Measurement of Retained Austenite Content in Austempered Ductile Iron Yoshio Kato, Sen-ichi Yamada, Takayuki Kato, Takeshi Uno Austempered Ductile Iron (ADI) 100kg/mm 2 10 ADI 10 X ADI

More information

1 1.1,,,.. (, ),..,. (Fig. 1.1). Macro theory (e.g. Continuum mechanics) Consideration under the simple concept (e.g. ionic radius, bond valence) Stru

1 1.1,,,.. (, ),..,. (Fig. 1.1). Macro theory (e.g. Continuum mechanics) Consideration under the simple concept (e.g. ionic radius, bond valence) Stru 1. 1-1. 1-. 1-3.. MD -1. -. -3. MD 1 1 1.1,,,.. (, ),..,. (Fig. 1.1). Macro theory (e.g. Continuum mechanics) Consideration under the simple concept (e.g. ionic radius, bond valence) Structural relaxation

More information

Surface Characterization and Performance of Surface-Treated Materials (II) Container Material Kinji Saijo* *Toyo Kohan Co., LTD Technical Research Laboratory This article reviews the application of surface

More information

global global mass region (matter ) & (I) M3Y semi-microscopic int. Ref.: H. N., P. R. C68, ( 03) N. P. A722, 117c ( 03) Proc. of NENS03 (to be

global global mass region (matter ) & (I) M3Y semi-microscopic int. Ref.: H. N., P. R. C68, ( 03) N. P. A722, 117c ( 03) Proc. of NENS03 (to be Gogny hard core spin-isospin property @ RCNP (Mar. 22 24, 2004) Collaborator: M. Sato (Chiba U, ) ( ) global global mass region (matter ) & (I) M3Y semi-microscopic int. Ref.: H. N., P. R. C68, 014316

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2004 SPring-8 2004/6/21 CMOS 2004 2007 2010 2013 nm 90 65 45 32 (nm) 1.2 0.9 0.7 0.6 High-performance Logic Technology Requirements (ITRS 2003) 10 Photoelectron Intensity (arb.units) CTR a-sio2 0.1 HfO

More information

Mott散乱によるParity対称性の破れを検証

Mott散乱によるParity対称性の破れを検証 Mott Parity P2 Mott target Mott Parity Parity Γ = 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 t P P ),,, ( 3 2 1 0 1 γ γ γ γ γ γ ν ν µ µ = = Γ 1 : : : Γ P P P P x x P ν ν µ µ vector axial vector ν ν µ µ γ γ Γ ν γ

More information

光学

光学 Si Nano-Photodiode with Surface-Plasmon Antenna Junichi FUJIKATA, Keishi OHASHI and Toru MOGAMI We studied the surface plasmon SP resonance effect on Si nano-photodiode PD characteristics for future optical

More information

untitled

untitled 20101221JST (SiC - Buried Gate Static Induction Transistor: SiC-BGSIT) SOURCE GATE N source layer p + n p + n p + n p+ n drift layer n + substrate DRAIN SiC-BGSIT (mωcm 2 ) 200 100 40 10 4 1 Si limit

More information

Quantitative Relationship between SAR and Temperature Rise inside Eyeball in a Realistic Human Head Model for 1.5 GHz-Microwave Exposure Kiyofumi Taka

Quantitative Relationship between SAR and Temperature Rise inside Eyeball in a Realistic Human Head Model for 1.5 GHz-Microwave Exposure Kiyofumi Taka Quantitative Relationship between SAR and Temperature Rise inside Eyeball in a Realistic Human Head Model for 1.5 GHz-Microwave Exposure Kiyofumi Takai, Non-member, Osamu Fujiwara, Member (Nagoya Institute

More information

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, biological imaging, and other applications because of

More information

untitled

untitled 1 2 3 4 5 130mm 32mm UV-irradiation UV-cationic cure UV-cationic cure UV-cationic cure Thermal cationic Reaction heat cure Thermal cationic Cation Reaction heat cure Cation (a) UV-curing of

More information

日立金属技報 Vol.34

日立金属技報 Vol.34 Influence of Misorientation Angle between Adjacent Grains on Magnetization Reversal in Nd-Fe-B Sintered Magnet Tomohito Maki Rintaro Ishii Mitsutoshi Natsumeda Takeshi Nishiuchi Ryo Uchikoshi Masaaki Takezawa

More information

devicemondai

devicemondai c 2019 i 3 (1) q V I T ε 0 k h c n p (2) T 300 K (3) A ii c 2019 i 1 1 2 13 3 30 4 53 5 78 6 89 7 101 8 112 9 116 A 131 B 132 c 2019 1 1 300 K 1.1 1.5 V 1.1 qv = 1.60 10 19 C 1.5 V = 2.4 10 19 J (1.1)

More information

03_委託テーマ発表資料(その2)(p.89-p.134).pdf

03_委託テーマ発表資料(その2)(p.89-p.134).pdf 89 MEMS 2 / 5-0 0-20 90 3 Beyond-CMOS CNT CNT CNT NEC 4 NEDO (80 NEDO 2008.05 Nature Nanotechnology NEDO (8 22 CNT CNT NEDOPJ CNT NEDO M 3 5 Nature Nanotechnology 3, 289-294 (2008) 6 9 7 8 92 9 (!!! '!!!

More information

技術創造の社会的条件

技術創造の社会的条件 1999 10 21 21 i ... 1 1... 3 1-1. 20...3 1900 1945 3 1945 198x 4 198x 1999 5 1-2....7 1945 198x 7 HEMT 8 198x 1999 9 9 1-3....11 11 12 13 18 2 New Institutions... 21 2-1....21 22 24 26 2-2....27 28 29

More information

研究成果報告書

研究成果報告書 10m 2m Ge Si BaF2 ZnSZnSe Sb-Ge-Sn-S IIR-SF1 1 2 Tungsten SilicideWSi WSi () IIR-SF 1 Sb-Ge-Sn-S 0.85~11μm2.710μm 253 C Al Al 220μm He-Cd laser 1 Exposure Photoresist WSi (a) 500 nm Development RIE WSi

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

<8B5A8F70985F95B632936EE7B22E696E6464>

<8B5A8F70985F95B632936EE7B22E696E6464> 47 Electrical Discharge Truing for Electroplated Diamond Tools Koji Watanabe Hisashi Minami Hatsumi Hiramatsu Kiyonori Masui (211 7 8 ) Electroplated diamond tools are widely used for grinding because

More information

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s 1 1 1, Extraction of Transmitted Light using Parallel High-frequency Illumination Kenichiro Tanaka 1 Yasuhiro Mukaigawa 1 Yasushi Yagi 1 Abstract: We propose a new sharpening method of transmitted scene

More information

13 2 9

13 2 9 13 9 1 1.1 MOS ASIC 1.1..3.4.5.6.7 3 p 3.1 p 3. 4 MOS 4.1 MOS 4. p MOS 4.3 5 CMOS NAND NOR 5.1 5. CMOS 5.3 CMOS NAND 5.4 CMOS NOR 5.5 .1.1 伝導帯 E C 禁制帯 E g E g E v 価電子帯 図.1 半導体のエネルギー帯. 5 4 伝導帯 E C 伝導電子

More information

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig Mover Design and Performance Analysis of Linear Synchronous Reluctance Motor with Multi-flux Barrier Masayuki Sanada, Member, Mitsutoshi Asano, Student Member, Shigeo Morimoto, Member, Yoji Takeda, Member

More information

ナノテクノロジ

ナノテクノロジ Nanotechnology 10 1 HEMT 201 2000 12 Abstract Since former President Clinton announced the National Nanotechnology Initiative, nanotechnology has become a well-known field. It has attracted much attention

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

1

1 4 Nano Device Technologies From New Functions of Extreme Substances to Telecommunication Technologies 4-1 Controlling Intermolecular Interactions using Nano- Structural Molecules OTOMO Akira, YOKOYAMA

More information

特-7.indd

特-7.indd Mechanical Properties and Weldability of Turbine Impeller Materials for High Temperature Exhaust Gas Turbocharger 1 000 1 050 246 IN100 The increase in environmental awareness in recent years has led to

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

Temperature Rise in a Birefringent Substrate by RF Discharge Plasma Koichi Takaki, Member, Kunioh Sayama, Student Member, Atsushi Takahashi, Student M

Temperature Rise in a Birefringent Substrate by RF Discharge Plasma Koichi Takaki, Member, Kunioh Sayama, Student Member, Atsushi Takahashi, Student M Temperature Rise in a Birefringent Substrate by RF Discharge Plasma Koichi Takaki, Member, Kunioh Sayama, Student Member, Atsushi Takahashi, Student Member, Tamiya Fujiwara, Member (Iwate University),

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

スライド 1

スライド 1 STRJ WS: March 9, 2006, 0.35µm 0.8µm 0.3µm STRJ WS: March 9, 2006, 2 0.35µm Lot-to-Lot, Wafer-to-Wafer, Die-to-Die(D2D) D2D 0.8µm (WID: Within Die) D2D vs. WID 0.3µm D2Dvs. WID STRJ WS: March 9, 2006,

More information

d > 2 α B(y) y (5.1) s 2 = c z = x d 1+α dx ln u 1 ] 2u ψ(u) c z y 1 d 2 + α c z y t y y t- s 2 2 s 2 > d > 2 T c y T c y = T t c = T c /T 1 (3.

d > 2 α B(y) y (5.1) s 2 = c z = x d 1+α dx ln u 1 ] 2u ψ(u) c z y 1 d 2 + α c z y t y y t- s 2 2 s 2 > d > 2 T c y T c y = T t c = T c /T 1 (3. 5 S 2 tot = S 2 T (y, t) + S 2 (y) = const. Z 2 (4.22) σ 2 /4 y = y z y t = T/T 1 2 (3.9) (3.15) s 2 = A(y, t) B(y) (5.1) A(y, t) = x d 1+α dx ln u 1 ] 2u ψ(u), u = x(y + x 2 )/t s 2 T A 3T d S 2 tot S

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

Bull. of Nippon Sport Sci. Univ. 47 (1) Devising musical expression in teaching methods for elementary music An attempt at shared teaching

Bull. of Nippon Sport Sci. Univ. 47 (1) Devising musical expression in teaching methods for elementary music An attempt at shared teaching Bull. of Nippon Sport Sci. Univ. 47 (1) 45 70 2017 Devising musical expression in teaching methods for elementary music An attempt at shared teaching materials for singing and arrangements for piano accompaniment

More information

研究成果報告書

研究成果報告書 EL EL 17% 1.5 (F. Li, et al. Org. Elect., Vol. 8, 635, 2007 ) (S.Hore, et al., Sol. Energy Mater. Sol. Cells, pp. Vol. 90, 1176, 2006) (C. Haase et al., Proc. of SPIE, Vol. 6645, 66450W, 2007) (T. Fukuda

More information

2004/4/16 (Power Technology) O 2 ( ) (Information Technology) ( ) Gas (4H) GaN andgap (ev) Electron mobility (cm 2 /Vs)

2004/4/16 (Power Technology) O 2 ( ) (Information Technology) ( ) Gas (4H) GaN andgap (ev) Electron mobility (cm 2 /Vs) ontents semicon.kuee.kyoto-u.ac.jp P 5.47 ev 1.12 ev Ge 0.66 ev Sn 0.08 ev DVD LSI, 3.20 ev GaN 3.42 ev ZnO 2004/4/16 (Power Technology) O 2 ( ) (Information Technology) ( ) Gas (4H) GaN andgap (ev) 1.12

More information

42 3 u = (37) MeV/c 2 (3.4) [1] u amu m p m n [1] m H [2] m p = (4) MeV/c 2 = (13) u m n = (4) MeV/c 2 =

42 3 u = (37) MeV/c 2 (3.4) [1] u amu m p m n [1] m H [2] m p = (4) MeV/c 2 = (13) u m n = (4) MeV/c 2 = 3 3.1 3.1.1 kg m s J = kg m 2 s 2 MeV MeV [1] 1MeV=1 6 ev = 1.62 176 462 (63) 1 13 J (3.1) [1] 1MeV/c 2 =1.782 661 731 (7) 1 3 kg (3.2) c =1 MeV (atomic mass unit) 12 C u = 1 12 M(12 C) (3.3) 41 42 3 u

More information

1.06μm帯高出力高寿命InGaAs歪量子井戸レーザ

1.06μm帯高出力高寿命InGaAs歪量子井戸レーザ rjtenmy@ipc.shizuoka.ac.jp ZnO RPE-MOCVD UV- ZnO MQW LED/PD & Energy harvesting LED ( ) PV & ZnO... 1970 1980 1990 2000 2010 SAW NTT ZnO LN, LT IC PbInAu/PbBi Nb PIN/FET LD/HBT 0.98-1.06m InGaAs QW-LD

More information

Donald Carl J. Choi, β ( )

Donald Carl J. Choi, β ( ) :: α β γ 200612296 20 10 17 1 3 2 α 3 2.1................................... 3 2.2................................... 4 2.3....................................... 6 2.4.......................................

More information

9 171179 2006 Sn Ag Cu Effect of Addition Elements on Creep Properties of the Sn Ag Cu Lead Free Solder Megumi NAGANO*, Noboru HIDAKA*, Hirohiko WATANABE*, Masayoshi SHIMODA* and Masahiro ONO* * 191-8502

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

<4D F736F F D208F4390B38DC58F49938A8D6595A CA90858D48985F95B F8F43959C82B382EA82BD B5F2E646F6378>

<4D F736F F D208F4390B38DC58F49938A8D6595A CA90858D48985F95B F8F43959C82B382EA82BD B5F2E646F6378> ,54,20102 CHARACTERISTICS OF COHERENT STRUCTURE IN COMPOUND OPEN CHANNEL FLOWS WITH DEEP FLOOD PLAIN DEPTH 1 2 3 Katsutoshi WATANABE, Yousuke TOKUMITSU, Haruka YOSHINAGA 1 745-8585 3538 2 3 733-0812 13-7-502

More information

untitled

untitled 2008-11/13 12 4 12 5 401 501 702 401 501 A-1 9:00-10:30 B-1 9:15-10:30 C-1 9:00-10:30 A-5 9:00-10:30 B-5 9:15-10:30 A A-2 10:45-12:15 B-2 10:45-12:15 C-2 10:45-12:15 A-6 10:45-12:15 B-6 10:45-12:15 A B

More information

IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU CFD PIV IHI M

IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU CFD PIV IHI M IHIMU Energy-Saving Principle of the IHIMU Semicircular Duct and Its Application to the Flow Field Around Full Scale Ships IHI GHG IHIMU PIV IHI Marine United Inc. ( IHIMU ) has already developed several

More information

PALL NEWS vol.126 November 2017

PALL NEWS vol.126 November 2017 PALL NEWS November 2017 Vol.126 PALL NEWS vol.126 November 2017 NEW =2000 9660 41.4 MPa 24 MPa NFPA T2.06.01 R2-2001 CAT C/90/* (1x10 6 0-28 MPa 1x10 6 29 120 C 60 C 450 Pa 340 Pa 1 MPa JIS B 8356-3/ISO

More information

電子部品はんだ接合部の熱疲労寿命解析

電子部品はんだ接合部の熱疲労寿命解析 43 Evaluation for Thermal Fatigue Life of Solder Joints in Electronic Components Haruhiko Yamada, Kazuyoshi Ogawa 2 63Sn- 37Pb 95Pb-5Sn Si Cu Si 63Sn-37Pb Since automotive electronic components are used

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. E-mail: {ytamura,takai,tkato,tm}@vision.kuee.kyoto-u.ac.jp Abstract Current Wave Pattern Analysis for Anomaly

More information

Electron Ion Collider と ILC-N 宮地義之 山形大学

Electron Ion Collider と ILC-N 宮地義之 山形大学 Electron Ion Collider と ILC-N 宮地義之 山形大学 ILC-N ILC-N Ee Ee == 250, 250, 500 500 GeV GeV Fixed Fixed target: target: p, p, d, d, A A 33-34 cm-2 LL ~~ 10 1033-34 cm-2 ss-1-1 s s == 22, 22, 32 32 GeV GeV

More information

磁性物理学 - 遷移金属化合物磁性のスピンゆらぎ理論

磁性物理学 - 遷移金属化合物磁性のスピンゆらぎ理論 email: takahash@sci.u-hyogo.ac.jp May 14, 2009 Outline 1. 2. 3. 4. 5. 6. 2 / 262 Today s Lecture: Mode-mode Coupling Theory 100 / 262 Part I Effects of Non-linear Mode-Mode Coupling Effects of Non-linear

More information

1..FEM FEM 3. 4.

1..FEM FEM 3. 4. 008 stress behavior at the joint of stringer to cross beam of the steel railway bridge 1115117 1..FEM FEM 3. 4. ABSTRACT 1. BackgroundPurpose The occurrence of fatigue crack is reported in the joint of

More information

1/3 (b) (D)=330330(mm) M/(QD)=2.0 6 (F c )80 N/mm 2 120N/mm 2 F c RC 16- D22(SD490)16-D19(USD685) (PCa) 16 4 PC -RB6.2(SBPD p w =0.73% C

1/3 (b) (D)=330330(mm) M/(QD)=2.0 6 (F c )80 N/mm 2 120N/mm 2 F c RC 16- D22(SD490)16-D19(USD685) (PCa) 16 4 PC -RB6.2(SBPD p w =0.73% C * * Structural Performance on Reinforced Concrete and Precast Concrete Columns Using Ultra High Strength Materials by Toshio MATSUMOTO and Hiroshi NISHIHARA Abstract In this experimental study, in order

More information

表紙

表紙 Akira Fujishima 2005.Vo.3 1 Kiyoshi kanamura kanamura-kiyoshi@c.metro-u.ac.jp 2 2005.Vol.3 Rechargeable Lithium Ion-Battery Active Material Liquid Electrolyte σ = 10-2 10-3 S cm -1 3D Interface of Solid

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

untitled

untitled 27.2.9 TOF-SIMS SIMS TOF-SIMS SIMS Mass Spectrometer ABCDE + ABC+ DE + Primary Ions: 1 12 ions/cm 2 Molecular Fragmentation Region ABCDE ABCDE 1 15 atoms/cm 2 Molecular Desorption Region Why TOF-SIMS?

More information

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama 1 2 3 4 5 6 7 8 9 10 590nm 2200nm 0 1.739 1.713 5 1.708 1.682 10 1.678 1.652 0-10 0.061061 0.061061 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 1.0 x1.0 0.5 x0.5 0.2 x0.2 0.1 x0.1 bg/30ms

More information