Linda

Size: px
Start display at page:

Download "Linda"

Transcription

1 1 METROLOGY ITRS IC IC 1/10 FIN IC

2 2 3 SOI ITRS nm FINFET High-k Low-k FEP SOI 22nm Cu ( ) CIM computer integrated manufacturing APC advanced process control CoO(Cost Of Ownership)

3 CD Critical Dimension / ( Reference Materials Reference Materials ) ( ) FEP RMS Reference Measurement Systems / / IC IC / P/T Measurement Precision to Tolerance Ratio 1 P/T SPC Statistical Process Control / S/N (Sensor Based Metrology) Infrastructure needs / 1 For example, refer to SEMI E Guide For Measurement System Capability Analysis.

4 4 MEMS Micro-Electro-Mechanical Systems 32nm Table

5 5 Table nm / / / robust sensors starting materials SOI CD SOI Cu (Low-k) / Metrology Difficult Challenges / / RTA SOI SOI (Low-k) 3 CD High-k / Low-k / Si SOI <32nm 3 / / / 32nm CMOS SEM 3 3 Cu * SPC(Statistical Process Control) - Beyond

6 6 Table 117a Metrology Technology Requirements Near-term Year of Production Driver DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU Physical Gate Length (nm) Microscopy Inline, nondestructive microscopy process resolution (nm) for P/T=0.1 Microscopy capable of measurement of patterned wafers having maximum aspect ratio/diameter (nm) (DRAM contacts) [A] Materials and Contamination Characterization MPU Gate >20 >20 >20 >20 D1/ Real particle detection limit (nm) [B] MPU Minimum particle size for compositional analysis (dense lines on patterned wafers) (nm) Specification limit of total surface contamination for critical GOI surface materials (atoms/cm 2 ) [C] Surface detection limits for individual elements for critical GOI elements (atoms/cm 2 ) with signal-to-noise ratio of 3:1 for each element D1/2 5.00E E E E E E E E E E E E E E E E E E+08 MPU Gate MPU Gate Table117b Metrology Technology Requirements Long-term Years Year of Production Driver DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU Physical Gate Length (nm) Microscopy Inline, nondestructive microscopy process resolution (nm) for P/T=0.1 Microscopy capable of measurement of patterned wafers having maximum aspect ratio/diameter (nm) (DRAM contacts) [A] Materials and Contamination Characterization MPU Gate >20 >20 >20 >20 >20 >20 >20 D1/ Real particle detection limit (nm) [B] MPU Minimum particle size for compositional analysis (dense lines on patterned wafers) (nm) Specification limit of total surface contamination for critical GOI surface materials (atoms/cm 2 ) [C] Surface detection limits for individual elements for critical GOI elements (atoms/cm 2 ) with signal-to-noise ratio of 3:1 for each element D1/2 5.00E E E E E E E E E E E E E E+08 MPU Gate MPU Gate Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known Table117a b [A] [B] [C] FEP F

7 7 32nm 1 LSI LWR D.Herr ) Low-k Cu 2 3 (Microscopy) 2 IC Integrated Circuit 1 / CD IC 3 Telepresence IC

8 8 SEM Scanning Electron Microscopy CD at-line at-line offline 45nm CD ( ) 250 ev SEM SEM / DOF SEM SEM SEM SEM CD 90nm / 3 FIB Focused Ion Beam TEM(Transmission Electron Microscope ) STEM(Scanning Transmission Electron Microscope ) FIB He CD

9 9 SPM Scanning Probe Microscopy CD SEM Critical Dimension Measurement Scanning Electron Microscope SPM 3 nm (Far-field Optical Microscopy) ) Near-field Microscopy SEM SPM SPM SPM SPM SEM SPM SPM SEM SEM SEM SEM Lithography Metrology 4 MEF CD CD IC precision, accuracy, CD CD CD

10 10 CD CD CD 1 % 3σ 10 nm 3σ 15 % 200 nm P/T precision to tolerance = 0.1 K1 CD CD CD OPC( ) RET( ) CD absolute accuracy repeatability precision CD 2 LER CD CD CD-SEM / 193 nm EUVL CD-SEM 2 CD CD-SEM measurement variation precision CD-SEM SEM CD CD-SEM CD-SEM

11 11 2 CD APC CD SEM AFM CD CD CD precision CD CD-AFM CD CD-AFM 90 nm 3 / FIB(SEM+FIB) LER LWR LER LWR 2001 LWR LER LWR 2001 ITRS LER CD CD-SEM LER LER LER LWR LER/LWR 2 LER/LWR / CD 3σ LER/LWR R&D 3σ LER/LWR L y 3σ L y 2 2 L y

12 12 LER/LWR LER/LWR L 2 µm LER 10 nm y LER/LWR 10 nm y 2 µm LER/LWR LER/LWR 1/fm f m , y 10 nm 2 µm LWR 5% 4nm y 32nm node 2nm y 22nm node LWR y LER/LWR 2 µm 3σ LWR LWR CD LWR LWR/LER LWRmeas 2 = LWRactual 2 + σ ε2 LWR meas LWR actual σ ε reproducibility σ ε 2.5 nm accuracy LER/LWR LER/LWR LER/LWR LWR LER/LWR LER/LWR LER/LWR precision accuracy LER/LWR LER/LWR CD reproducibility precision SEMI precision reproducibility reproducibility repeatability reproducibility

13 13 ITRS CD precision CD golden TMU precision P TMU P TMU TMU CD CD SEM CD-AFM CD precision CD CD-SEM 2 CD-AFM FIB LER LWR LER precision CD CD / SEM SEM SEM CD

14 14 Low-k SOI SOI Kramers-Kronig n k 193nm EUV Si Si SPM SEM CMP chemical mechanical polishing Low-k Low-k DRAM NVM 20 % 25 % SEM Table 118a, b Table 119a, b, c, d EUV

15 15 Table 118a Lithography Wafer Metrology Technology Requirements Near-term Years Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) Flash ½ Pitch (nm) (Un-contacted Poly) MPU Physical Gate Length (nm) Printed gate CD control (nm) Uniformity (variance) is 12% of CD Allowed lithography variance = 3/4 total variance of physical gate length * Wafer dense line CD control (nm) * Uniformity is 13.5% of CD Allowed lithography variance = 3/4 total variance Wafer minimum contact hole (nm, post etch) from lithography tables Wafer contact CD control (nm)* Uniformity is 15% of CD = minimum contact hole size Allowed lithography variance = 2/3 total variance Line width roughness (nm, 3 σ) < 8% of CD *** Wafer CD metrology tool precision (nm) * 3σ at P/T = 0.2 for isolated printed and physical lines [A] Wafer CD metrology tool precision (nm) * (P/T=.2 for dense lines**) Wafer CD metrology tool precision (nm) * (P/T=.2 for contacts**)**** Wafer CD metrology tool precision (nm) * (P/T=.2) for LWR*** Maximum CD measurement bias (%) Aspect Ratio Capability for Trench Structure CD Metrology 15:1 15:1 15:1 15:1 15:1 15:1 15:1 15:1 20:1 Wafer overlay control (nm) Wafer overlay output metrology precision (nm, 3 σ)* P/T= * precision nm 3σ precision CD ** *** LER 2 3 LWR LWR= 2 (LER) **** CD FIB Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

16 16 Table 118b Lithography Wafer Metrology Technology Requirements Long-term Years Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) Flash ½ Pitch (nm) (Un-contacted Poly) MPU Physical Gate Length (nm) Printed gate CD control (nm) Uniformity (variance) is 12% of CD Allowed lithography variance = 3/4 total variance of physical gate length * Wafer dense line CD control (nm) * Uniformity is 13.5% of CD Allowed lithography variance = 3/4 total variance Wafer minimum contact hole (nm, post etch) from lithography tables Wafer contact CD control (nm)* Uniformity is 15% of CD = minimum contact hole size Allowed lithography variance = 2/3 total variance Line width roughness (nm, 3 σ) <8% of CD *** Wafer CD metrology tool precision (nm) * 3σ at P/T = 0.2 for isolated printed and physical lines [A] Wafer CD metrology tool precision (nm) * (P/T=.2 for dense lines**) Wafer CD metrology tool precision (nm) * (P/T=.2 for contacts**)**** Wafer CD metrology tool precision (nm) * (P/T=.2) for LWR*** Maximum CD measurement bias (%) Aspect Ratio Capability for Trench Structure CD Metrology 20:1 20:1 20:1 20:1 20:1 20:1 20:1 Wafer overlay control (nm) Wafer overlay output metrology precision (nm, 3 σ)* P/T= * precision nm 3σ precision CD ** *** LER 2 3 LWR LWR= 2 (LER) **** CD FIB Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

17 17 Table 119a Lithography Metrology (Mask) Technology Requirements: Optical Near-term Years Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU gate in resist (nm) MPU Physical Gate Length (nm) Flash ½ Pitch (nm) (Un-contacted Poly) DRAM/Flash CD control (3sigma) (nm) CD uniformity (nm, 3 sigma) isolated lines (MPU gates), binary or attenuated phase shift mask [H] Wafer overlay control (nm) DRAM Contact after etch (nm) Wafer contact CD control (nm)* Uniformity is 13.5% of CD = minimum contact hole size Allowed lithography variance = 3/4 total variance Mask nominal image size (nm) [B] Mask minimum primary feature size [D] Optical Section Minimum OPC size (opaque at 4, nm) [D] Image placement (nm, multi-point) [F] CD uniformity allocation to mask (assumption) Mask error factor (MEF) from lithography tables isolated lines, binary MEEF dense lines, binary or attenuated phase shift mask [G] MEF contacts [G] CD Uniformity (3 Sigma at 4, nm) Refer to Lithography Chapter Table for Optical Mask Requirements Mask CD uniformity (nm, 3 sigma) isolated lines (MPU gates), binary or attenuated phase shift mask [H] Mask CD uniformity (nm, 3 sigma) dense lines (DRAM half pitch), binary or attenuated phase shift mask [J] Mask contact CD control (nm)* Uniformity is 12% of CD = minimum contact hole size Allowed lithography variance = 3/4 total variance Mask image placement metrology (precision, P/T=0.1) Mask CD precision (nm, 3 sigma) isolated lines (MPU gates), binary or attenuated phase shift mask [H] (P/T=0.2 for isolated lines, binary**) Mask CD precision (nm, 3 sigma) dense lines (DRAM half pitch), binary or attenuated phase shift mask [J] Mask contact CD precision(nm)* Uniformity is 12% of CD = minimum contact hole size Allowed lithography variance = 3/4 total variance Specific Requirements Alternated PSM phase mean deviation Phase metrology precision, P/T= Attenuated PSM phase mean deviation from 180º (± degree) [S] Phase uniformity metrology precision, P/T=

18 18 Table 119b Lithography Metrology (Mask) Technology Requirements: Optical Long-term Years Optical Masks not part of potential solutions beyond 22 nm, grey-colored cells indicate the transition Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU gate in resist (nm) MPU Physical Gate Length (nm) Flash ½ Pitch (nm) (Un-contacted Poly) DRAM/Flash CD control (3sigma) (nm) CD uniformity (nm, 3 sigma) isolated lines (MPU gates), binary or attenuated phase shift mask [H] Wafer overlay control (nm) DRAM Contact after etch (nm) Wafer contact CD control (nm)* Uniformity is 13.5% of CD = minimum contact hole size Allowed lithography variance = 3/4 total variance Mask nominal image size (nm) [B] Mask minimum primary feature size [D] Minimum OPC size (opaque at 4, nm) [D] Optical Section Image placement (nm, multi-point) [F] CD uniformity allocation to mask (assumption) Mask error factor (MEF) from lithography tables isolated lines, binary MEEF dense lines, binary or attenuated phase shift mask [G] MEF contacts [G] CD Uniformity (3 Sigma at 4, nm) Refer to Lithography Chapter Table for Optical Mask Requirements Mask CD uniformity (nm, 3 sigma) isolated lines (MPU gates), binary or attenuated phase shift mask [H]

19 19 Table 119b Lithography Metrology (Mask) Technology Requirements: Optical Long-term Years (continued) Optical Masks not part of potential solutions beyond 22 nm, grey-colored cells indicate the transition 1. Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU gate in resist (nm) Mask CD uniformity (nm, 3 sigma) dense lines (DRAM half pitch), binary or attenuated phase shift mask [J] Mask contact CD control (nm)* Uniformity is 12% of CD = minimum contact hole size Allowed lithography variance = 3/4 total variance Mask image placement metrology (precision, P/T=0.1) Mask CD precision (nm, 3 sigma) isolated lines (MPU gates), binary or attenuated phase shift mask [H] (P/T=0.2 for isolated lines, binary**) Mask CD precision (nm, 3 sigma) dense lines (DRAM half pitch), binary or attenuated phase shift mask [J] Mask contact CD precision(nm)* Uniformity is 12% of CD = minimum contact hole size Allowed lithography variance = 3/4 total variance Specific Requirements Alternated PSM phase mean deviation Phase metrology precision, P/T=0.2 Attenuated PSM phase mean deviation from 180º (± degree) [S] Phase uniformity metrology precision, P/T= Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

20 20 Table 119c Lithography Metrology (Mask) Technology Requirements: EUV Near-term Years Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU Physical Gate Length (nm) Flash ½ Pitch (nm) (Un-contacted Poly) Image placement error (nm, multipoint) CD Uniformity (3 sigma at 4, nm) Isolated lines (MPU gates) Uniformity is 10% of CD Mask error factor varies with year Dense lines (DRAM half-pitch) Uniformity is 15% of CD Mask error factor varies with year DRAM contact after Etch Contact/Vias Uniformity is 10% of CD mask error factor varies with year Mask CD metrology tool precision* (P/T=0.2 for isolated lines)** Mask CD metrology tool precision* (P/T=0.2 for dense lines)** Mask CD metrology tool precision* (P/T=0.2 for contact/vias)** Specific Requirements Mean peak reflectivity 65% 66% 66% 66% 67% 67% Peak reflectivity uniformity (3 sigma %) 0.69% 0.58% 0.47% 0.42% 0.37% 0.33% Absorber sidewall angle tolerance (degrees) Absorber LER (3 sigma, nm) Mask substrate flatness (peak-to-valley, nm) Metrology mean peak reflectivity precision (P/T=0.2, %) Peak reflectivity uniformity metrology precision (3 sigma, P/T = 0.2) Absorber sidewall angle metrology precision (degrees 3 sigma, P/T = 0.2) Absorber LER metrology precision (3 sigma, P/T=0.2) Mask substrate flatness metrology precision (nm 3 sigma, P/T=0.2) 1.30% 1.30% 1.30% 1.30% 1.30% 1.30% 0.14% 0.12% 0.09% 0.08% 0.07% 0.07% nm EUV * precision nm 3 ** Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

21 21 Table 119 d Lithography Metrology (Mask) Technology Requirements: EUV Long-term Years Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU Physical Gate Length (nm) Flash ½ Pitch (nm) (Un-contacted Poly) Image placement error (nm, multipoint) CD Uniformity (3 sigma at 4, nm) Isolated lines (MPU gates) Uniformity is 10% of CD Mask error factor varies with year Dense lines (DRAM half-pitch) Uniformity is 15% of CD Mask error factor varies with year DRAM contact after Etch Contact/Vias Uniformity is 10% of CD mask error factor varies with year Mask CD metrology tool precision* (P/T=0.2 for isolated lines)** Mask CD metrology tool precision* (P/T=0.2 for dense lines)** Mask CD metrology tool precision* (P/T=0.2 for contact/vias)** Specific Requirements Mean peak reflectivity 67% 67% 67% 67% 67% 67% 67% Peak reflectivity uniformity (3 sigma %) 0.29% 0.26% 0.23% 0.21% 0.19% 0.17% 0.15% Absorber sidewall angle tolerance (degrees) Absorber LER (3 sigma, nm) Mask substrate flatness (peak-to-valley, nm) Metrology mean peak reflectivity precision (P/T=0.2, %) Peak reflectivity uniformity metrology precision (3 sigma, P/T = 0.2) Absorber sidewall angle metrology precision (degrees 3 sigma, P/T = 0.2) 1.30% 1.30% 1.30% 1.30% 1.30% 1.30% 1.30% 0.06% 0.05% 0.05% 0.04% 0.04% 0.03% 0.03% Absorber LER metrology precision (3 sigma, P/T=0.2) Mask substrate flatness metrology precision (nm 3 sigma, P/T=0.2) * precision nm 3 ** Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

22 22 Table 119 a b [A] CD (process range) precision CD 1 25nm CD [B] 4 [C] CD / OPC [D] OPC [E] CD process range CD 3 CD 1/10 4/5 CD 15% 4/5 / CD 15% 2/3 40 MEF CD MEF [F] 65nm [G] 1 [H] 70nm 100nm 2 65nm nm 50nm 3 [I] / 70nm 100nm 3 65nm nm 50nm 4

23 DRAM 1/2 Pitch 65nm 45nm 32nm 22nm 16nm CD-Wafer and Mask Metrology 65 CD-SEM, scatterometry Off-line CD-SPM (e.g. AFM) Off-line destructive dual column FIB DRAM Half-pitch 45 CD-SEM, scatterometry Off-line CD-SPM (e.g. AFM) Off-line destructive dual column FIB Narrow options Technology Options (DRAM Half-Pitch, nm) CD-SEM with improvements (e.g., aberration corrected items) Scatterometry with improvements Off-line CD-SPM (e.g. AFM) Off-line destructive dual column FIB Narrow options CD-SEM with improvements (e.g., aberration corrected lens) Scatterometry with improvements Off-line CD-SPM (e.g., AFM) Off-line destructive dual column FIB Narrow options 16 CD technology capable of measuring nano-materials Narrow options Overlay Metrology DRAM Half-pitch 65 Optical (microscopic) SEM 45 Optical (microscopic) SEM Scatterometry Narrow options Technology Options (DRAM Half-Pitch, nm) 32 Advanced optical (microscopic) SEM Innovative scatterometry Narrow options 22 Innovative Scatterometry & other Narrow options 16 Overlay technology capable of measuring nano-materials Narrow options Research Required Development Underway Qualification/Pre-Production Continuous Improvement This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Figure 106 Lithography Metrology Potential Solutions FEP Front End Processes Metrology CMOS CMOS 15 IC FEP FINFET SOI CMOS High-k (wrap around)

24 24 FEP FEP Table120 Figure107 Table 120a Front End Processes Metrology Technology Requirements Near-term Years Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU Physical Gate Length (nm) Metrology for metal gate thickness and composition* Bulk control limits for trace metals for bulk silicon and SOI top silicon layer. 0.5x x x x x x x x x10 10 (Fe concentration in atoms/cm 3 ) Bulk detection limits for trace metals for bulk silicon and SOI top silicon layer. 0.5x x x x x x x x x10 9 (Fe concentration in atoms/cm 3 ) High-performance EOT (Extended planar bulk) High-performance EOT (FDSOI) High-performance EOT (DG) Low power EOT (bulk) Low power EOT (DG) Low power EOT (FD) ± 3σ dielectric process range (EOT) (nm) ± 4% ± 4% ± 4% ± 4% ± 4% ± 4% ± 4% ± 4% ± 4% EOT measurement precision 3σ (nm) [B] Cylinder Cylinder Pedestal Pedestal Pedestal DRAM stacked capacitor structure including Pedestal Pedestal Pedestal Pedestal /Pedestal /Pedestal MIM/ MIM/ MIM/ electrodes MIM MIM MIM MIM MIM MIM others others others DRAM stacked capacitor electrodes (near term) MIM MIM MIM MIM MIM MIM MIM MIM MIM DRAM stacked capacitor dielectric material ALO/TAO /others ALO/TAO /others ALO/TAO/ others ALO/TAO/ others ALO/TAO/ others ALO/TAO/ DRAM stacked capacitor dielectric constant EOT (nm) for stacked capacitor DRAM stacked capacitor dielectric physical thickness (nm) ± 3 σ process range ± 4% ± 4% ± 4% ± 4% ± 4% ± 4% ± 4% ± 4% ± 4% DRAM capacitor dielectric physical thickness measurement precision (nm 3s) [C] Uniform channel concentration (cm 3 ), for V t =0.4 [W] E E E18 others new material new material new material NA NA NA NA NA NA Dopant atom P, As, B P, As, B P, As, B P, As, B P, As, B P, As, B P, As, B P, As, B P, As, B

25 25 Table 120a Front End Processes Metrology Technology Requirements Near-term Years (continued) Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU Physical Gate Length (nm) Metrology for junction depth [based on drain extension] of (nm) Note change to different structure for 2008 Extension lateral abruptness (nm/decade) [M] TBD TBD TBD TBD TBD TBD Lateral/depth spatial resolution for 2D/3D dopant profile (nm) TBD TBD TBD TBD TBD TBD At-line dopant concentration precision (across concentration range) [D] 4% 4% 4% 4% 4% 2% 2% 2% 2% Metal gate work function for bulk MPU/ASIC Ec,v fm (ev) [***] <0.2 <0.2 <0.2 <0.2 <0.2 <0.2 <0.2 Metal gate work function for FDSOI MPU/ASIC fm Ei (ev) NMOS/PMOS [***] ± 0.1 ± 0.1 ± 0.1 ± 0.1 ± 0.1 ± 0.1 Metal gate work function for multi-gate MPU/ASIC [***] midgap midgap midgap Metal gate work function for bulk low operating power Ec,v fm (ev) [***] <0.2 <0.2 <0.2 <0.2 <0.2 <0.2 <0.2 <0.2 Metal gate workfunction for FDSOI LOP [***] midgap midgap midgap Metal gate work function for multi-gate LOP [***] midgap midgap midgap Metal gate work function for bulk LSTP Ec,v fm (ev) [***] <0.2 <0.2 <0.2 <0.2 <0.2 <0.2 Metal gate work function for FDSOI and multi-gate LSTP fm - Ei (ev) NMOS/PMOS [***] Metrology for metal gate thickness and composition* Starting silicon layer thickness (SOI) (fully depleted) (tolerance ± 5%, 3s) (nm) [M] SOI Si thickness precision (3s in nm) Grey cells indicate transition years of technologies. * Cell colors indicate this is an overarching metrology for metal gate thickness and composition that are critical challenges during the long-term years. Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

26 26 Table 120b Front End Processes Metrology Technology Requirements Long-term Years Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU Physical Gate Length (nm) Metrology for metal gate thickness and composition* Bulk control limits for trace metals for bulk silicon and SOI top silicon layer. (Fe concentration in atoms/cm 3 ) Bulk detection limits for trace metals for bulk silicon and SOI top silicon layer. (Fe concentration in atoms/cm 3 ) High-pPerformance EOT (Extended planar bulk) High-performance EOT (FDSOI) x x x x x x x x x x x x x x10 9 High-performance EOT (DG) Low power EOT (bulk) Low power EOT (DG) Low power EOT (FD) ± 3σ dielectric process range (EOT) (nm) ± 4% ± 4% ± 4% ± 4% ± 4% ± 4% ± 4% EOT measurement precision 3σ (nm) [B] DRAM stacked capacitor structure including electrodes DRAM stacked capacitor electrodes (near term) Pedestal MIM Pedestal MIM DRAM stacked capacitor dielectric material new material new material Pedestal MIM Pedestal MIM DRAM stacked capacitor dielectric constant Pedestal MIM EOT (nm) for stacked capacitor DRAM stacked capacitor dielectric physical thickness (nm) Pedestal MIM Pedestal MIM ± 3σ process range ± 4% ± 4% ± 4% ± 4% ± 4% DRAM capacitor dielectric physical thickness measurement precision (nm 3s) [C] Uniform channel concentration (cm 3 ), for V t =0.4 [W] NA NA NA NA NA Dopant atom P, As, B P, As, B P, As, B P, As, B P, As, B Metrology for junction depth [based on drain extension] of (nm) Note change to different structure for Extension lateral abruptness (nm/decade) [M] TBD TBD TBD TBD TBD Lateral/depth spatial resolution for 2D/3D dopant profile (nm) TBD TBD TBD TBD TBD

27 27 Table 120b Front End Processes Metrology Technology Requirements Long-term Years (continued) Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU Physical Gate Length (nm) At-line dopant concentration precision (across concentration range) [D] 2% 2% 2% 2% 2% Metal gate work function for bulk MPU/ASIC Ec,v fm (ev) [***] Metal gate work function for FDSOI MPU/ASIC fm Ei (ev) NMOS/PMOS [***] Metal gate work function for multi-gate MPU/ASIC [***] ± 0.1 ± 0.1 midgap midgap midgap midgap midgap midgap midgap Metal gate work function for bulk low operating power Ec,v fm (ev) [***] Metal gate work function for FDSOI LOP [***] midgap midgap midgap midgap midgap midgap midgap Metal gate work function for multi-gate LOP [***] midgap midgap midgap midgap midgap midgap midgap Metal gate work function for bulk LSTP Ec,v - fm (ev) [***] Metal gate work function for FDSOI and multi-gate LSTP fm - Ei (ev) NMOS/PMOS [***] Starting silicon layer thickness (SOI) (fully depleted) (tolerance ± 5%, 3s) (nm) [M] ± 0.1 ± 0.1 ± 0.1 ± 0.1 ± 0.1 ± 0.1 ± SOI Si thickness precision (3s in nm) Grey cells indicate transition years of technologies. * Cell colors indicate this is an overarching metrology for metal gate thickness and composition that are critical challenges during the long-term years. Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known Table 120a 120b [A] SOI [B] P/T=0.1=6x / SiO 2 SiON SiN/ SiO nm SiO 2 Ta2O5 70nm 100nm High-k/ ox EOT 6.4nm Ta 2 O 5 =~2.5 EOT=1nm SiO 2 k=3.9 EOT [C] MIS tdiel tdiel=(teq.ox-1nm)diel High-k/3.9 MIM tdiel tdiel= teq.ox High-k/3.9 teq.ox SiO 2 High-k [D] FEP

28 28 FEP Table120 Figure107 Starting Materials SOI Si p+ SOI Si SOI Si Ni Cu SOI Si cm- 3 Fe SOI <20nm HF <100nm 2-20 <50nm 90nm 90nm 90nm 90 nm FEP Starting Materials SOI Silicon-On-Insulator SOI IC SOI SOI SOI SOI FEP Starting Materials 2001 SOI Si Si (Materials Characterization) Surface Preparation in-situ " " " / " High-k / Thermal/Thin Films SiON High-k High-k FEP 2005 High-k / High-k " / " High-k STEM X SiGe Ge " / "

29 29 NMOS Si 3 N 4 PMOS SiGe STI PMOS STI Si 3 N 4 NMOS FINFETS wrap around FERAM nm nm 4 Thermally Modulated Optical Reflectance B P As B P As X In-line Electron Microprobe System SIMS Secondary Ion Mass Spectroscopy 2 3 TCAD technology computer-aided design Carrier Illumination

30 DRAM 1/2 Pitch 65nm 45nm 32nm 22nm 16nm 65 Dielectric Ellipsometry X-ray reflectivity Non-contact electrical Technology Options (DRAM Half-Pitch, nm) 45 32/22 Dielectric Ellipsometry X-ray reflectivity Non-contact electrical (Corona discharge methods) XPS (x-ray photoelectron spectroscopy Metal gate X-ray reflectivity and ellipsometry Narrow options Dielectric (requires considerable improvement) Ellipsometry possible sidewall measurement X-ray reflectivity Non-contact electrical (Corona discharge methods) XPS (x-ray photoelectron spectroscopy) Metal gate possible sidewall measurement X-ray reflectivity and ellipsometry Narrow options 16 Dielectric Modified methods for sidewall measurements Metal gate Modified methods for sidewall measurement s Narrow options Research Required Development Underway Qualification/Pre-Production Continuous Improvement This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Figure 107 FEP Metrology Potential Solutions Interconnect Metrology IC /SiO 2 Cu/Low-k / / /SiO 2 Cu-Low- Cu-Low- Cu

31 31 Cu-Low-k Cu Cu-Low-k Cu Cu Cu Cu /CMP/ Cu Cu Cu 90nm Cu 90nm Cu 2 Cu Cu Cu Cu Cu in-situ Potential Solution CVS

32 32 Cu 5nm ITRS % 6nm (6 ) 0.12nm SPC Cu X X X Cu CMP Cu Cu Cu Cu Cu/ ( ) CMP Cu CMP in-situ Low-k ( ) X Cu Cu CMP Cu Low-k CD

33 33 CD / 3 CD CD Table121 Figure108 Cu Low-k Table121

34 34 Table 121a Interconnect Metrology Technology Requirements Near-term Years Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU Physical Gate Length (nm) Metrology for maintaining planarity requirements: lithography field (mm mm) for minimum interconnect CD (nm) [A] Measurement of deposited barrier layer at thickness (nm) Process range (± 3σ ) 10% 10% 10% 10% 10% 10% 10% 10% 10% Precision σs (nm) for P/T=0.1 [B] Metrology capability to measure Cu thinning at minimum pitch due to erosion (nm), 10% height, 50% areal density, 500 µm square array Detection of post deposition and anneal process voids at or exceeding listed size (nm) when these voids constitute 1% or more of total metal level conductor volume of copper lines and vias Detection of killer pore in ILD at (nm) size Measure interlevel metal insulator bulk/effective dielectric constant (κ) and anisotropy on patterned structures [C] Table 121b Interconnect Metrology Technology Requirements Long-term Years Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU Physical Gate Length (nm) Metrology for maintaining planarity requirements: lithography field (mm mm) for minimum interconnect CD (nm) [A] Measurement of deposited barrier layer at thickness (nm) Process range (± 3σ) 10% 10% 10% 10% 10% 10% 10% Precision σs (nm) for P/T=0.1 [B] Metrology capability to measure Cu thinning at minimum pitch due to erosion (nm), 10% height, 50% areal density, 500 µm square array Detection of post deposition and anneal process voids at or exceeding listed size (nm) when these voids constitute 1% or more of total metal level conductor volume of copper lines and vias Detection of killer pore in ILD at (nm) size Measure interlevel metal insulator bulk/effective dielectric constant (κ) and anisotropy on patterned structures [C] Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

35 35 Low-k Low-k SiO 2 Cu Low-k SiO 2 2 Low-k 2 Low-k Low-k CMP CMP in-situ Low-k X SAXS SAXS Low-k Low-k 40GHz 20GHz 40GH 100GH Low-k 1 GHz 10 GHz CMP Low-k Low-k CD / CD-SEM CD

36 36 M1 CD Low-k R-C DRAM 1/2 Pitch 65nm 45nm 32nm 22nm 16nm 65 Low k stack Optical and x-ray reflectivity Metal barrier / seed copper X-ray reflectivity, x-ray fluorescence, acoustic, and 4pt probe Technology Options (DRAM Half-Pitch, nm) Low k stack Optical and x-ray reflectivity Metal barrier / seed copper X-ray reflectivity, x-ray fluorescence, acoustic, and 4pt probe Low k stack Porous low k control Metal barrier / seed copper 3D Interconnect Metrology Narrow options Narrow options 22 Metrology development to meet needs of unknown Interconnect technology Narrow options 16 Metrology development to meet needs of unknown Interconnect technology Narrow options Research Required Development Underway Qualification/Pre-Production Continuous Improvement This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Figure 108 Interconnect Metrology Potential Solutions X TEM STEM TEM STEM ADF-STEM; Annular Dark Field STEM

37 37 TEM STEM ADF-STEM X SIMS TOF; Time Of Flight SIMS X XRR X XRR X-Ray Reflectivity TEM/STEM SIMS FE-AES; Field Emission Auger Electron Spectroscopy 20nm Low-k 300mm TEM STEM High-k Low-k STEM ADF EELS; Electron energy Loss Spectroscopy 0.2nm ELS High-k ADF EELS STEM TEM STEM STEM EDS; energy-dispersive spectroscopy X EDS EDS SEM 50nm High-k Cu

38 /cm 3 Cu 10 8 /cm 3 ICP-MS SOI Silicon On Insulator 2003 Si Si SOI Si Si SiGe SOI SiGe 1 SiGe Ge 2 Si 3 Si/SiGe Si 4 Si 5 Si cm -2 6 SiGe/Si 7 TEM TEM TEM AFM Si EPD EPD EPD EPD X Ge SIMS SiGe Si / SIMS SOI Si Si Si Si-Si Si-Si Si Si Si 325nm Si SiGe Si-Si SiGe Si-Si SiGe Si

39 µm 5 10 Si Si Si Si Si 1 Si Si Si SiGe Ge Ge Si Si/SiGe X Si X nm Si Si TEM X X X High k Si Si Si SiGe SR X Microscopy

40 DRAM 1/2 Pitch 65nm 45nm 32nm 22nm 16nm PRODUCT WAFER ANALYSIS / REVIEW Improved imaging for SEM, auger, and dule, column FIB defect review ATOMIC LEVEL CHARACTERIZATION AND INTERFACE ANALYSIS Advanced TEM / STEM imaging / ELS Field emission atomic mapping Gi-XRR for interface analysis Innovative methods Dopant profiling Scanned probe methods Field emission atomic mapping Innovative methods Centralized facilities for TXRF / ion beam methods Research Required Development Underway Qualification/Pre-Production Continuous Improvement This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Figure 109 Materials and Contamination Potential Solutions (RMS; Reference Measurement System) RMS RMS FAB RMS RMS FAB RMS FAB ( golden ) FAB FAB in-house

41 41 / / / / / / certified reference material, CRM / consensus reference material NIST / NTRM / standard reference material, SRM 2 ISO VIM RM CRM RM " " CRM " " NIST NIST RM ISO RM CRM NIST SRM ISO CRM NIST / SRM NIST NIST / NIST NTRM / NIST NIST NTRM 3 ASTM / NMI NIST NMI 4 (Mutual Recognition) (Key Comparison) NMI NTRM SRM NIST 3 NTRM NIST 4 BIPM

42 42 / / / / / / / / / / / 1/4 / 1/4 / " / " / APC APC advanced process control in-situ APC R2R run-to-run FDC fault detection and classification APC 1 APC 2 APC APC CMP 3 APC APC APC 2 1 R2R wafer-to-wafer batch-to-batch in-situ 2 FDC FDC in-situ

43 43 R2R FDC 2 APC R2R FDC R2R In-situ R2R R2R FDC EEC Equipment Engineering Capability APC APC APC R2R FDC in-situ R2R FDC R2R FDC R2R FDC 1 2 APC APC 3 R2R FDC FDC R2R 4 FDC R2R 1 3 APC APC APC R2R CD CMP CD CD 100

44 in-situ in-situ APC in-situ APC R2R APC in-situ R2R In-situ in-situ In-situ in-situ In-situ ALD Atomic Layer Deposition ALD Low-k in-situ in-situ High-k CMP Low-k APC APC

45 45 3 TEM ELS STEM TEM STEM TEM STEM 0.1nm STEM 3 TEM High-k ELS ELS ELS LEAP Local Electrode Atom Probe LEAP 2 LEAP 3 60% CMOS CMOS Opportunities SPM Scanning Probe Microscopy nm

46 46 2 SPM 8 SSPM Scanning Surface Potential Microscopy 10nm High-k SPM Multiple Modulation Challenges General Accessibility High-k CMOS 6

47 47 SPM SSPM SPM 30 / Critical Point Jellison (Joint Density of State) Jellison E 0 3.4eV E eV( 292nm) nm, CMOS

48 48 MOSFET J. Allgair et al., Applications of image diagnostics to metrology quality assurance and process control, Proc. SPIE, Vol. 5042; SEMATECH Advanced Metrology Advisory Group. A.C. Diebold and D. Joy, CD measurements for Future Technology Generations, Solid State Technology, June K. Patterson, J.L. Sturtevant, J. Alvis, N. Benavides, D. Bonser, N. Cave, C. Nelson-Thomas, B. Taylor, K. Turnquest, Experimental Determination of the Impact of Polysilicon LER on sub-100 nm Transistor Performance, Metrology, Inspection, and Process Control for Microlithography XV, SPIE Vol 4344, 2001, A. Yamaguchi, K. Ichinose, S. Shimamoto, H. Fukuda, R. Tsuchiya, K. Ohnishi, H. Kawada, and T. Iizumi, Metrology of LER: Influence of Line-Edge Roughnesss (LER) on Transistor Performance, Metrology, Inspection, and Process Control for Microlithography XVIII, SPIE Vol 5375, 2004, B. D. Bunday, M. Bishop, D. McCormack, J. S. Villarrubia, A. E. Vladar, R. Dixson, T. Vorburger, and N. G. Orji, Determination of Optimal Parameters for CD-SEM Measurement of Line Edge Roughness, Metrology, Inspection, and Process Control for Microlithography XVIII, SPIE Vol 5375, 2004, J. S. Villarrubia and B. D. Bunday, Unbiased Estimation of Linewidth Roughness, Metrology, Inspection, and Process Control for Microlithography XIX, SPIE, Vol 5752, 2005, Lauchlan, L., Nyyssonen, D. and Sullivan, N Metrology Methods in Photolithography in Handbook of Microlithography, Micromachining, and Microfabrication Vol 1. P. Rai-Choudhury, ed. SPIE Engineering Press, Bellingham, WA. G.E. Jellison, Physics of Optical Metrology of Silicon-based Semiconductor Devices, In Handbook of Silicon Semiconductor Metrology, Ed. A.C. Diebold, (Dekker, New York, 2001), p X. Zhao, C.M.Wei, L. Yang, and M.Y. Chou, Quantum Confinement and Electronic Properties of Silicon Nanowires, Phys. Rev Lett. 92, , (2004). J. Chen, M.A. Reed, A.M. Rawlett, and J.M. Tour, Science, 286, (1999). C.P. Collier, G. Mattersteig, E.W. Wong, et al., Science 289, (2000). Richter, C.A., D.R. Stewart, D.A.A. Ohlberg, R.S. Williams, Appl. Phys. A, 80, (2005). S.-M. Koo, A.-F. Fujuwara, J.-P. Han, E. Vogel, C. Richter, and J. Bonevich, Nano Lett., Vol. 4, (2004).

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

Linda

Linda 1 2005 ArF(193 nm) CoO(Cost of Owership) CD(Critical Dimension) (Difficult Challenges) (Potential Solutions) 15 ITWG International technology working group[ ] FEP(Front End Processing) ESH Environment,

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

スライド 1

スライド 1 LER/LWR WG4 /WG5 /WG6(PIDS)/WG11 65nm WG11 1 LER/LWR 2004 UPDATE LER/LWR 2 1. LER/LWR 2. * * 3. 4. * Lithography/PIDS/Interconnect 5. 3 1. LER/LWR Line-Edge Roughness (LER) L y Line-Width Roughness (LWR)

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

LSI ( ) ( ) ( ) ( )

LSI ( ) ( ) ( ) ( ) 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 2 5F

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25

JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25 JIS Q 17025 IDT, ISO/IEC 17025 IT JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25 JIS Q 17025 IDT, ISO/IEC 17025 IT IT JIS Q 17025ISO/IEC 17025 (2) JIS Z 9358 ISO/IEC Guide 58 (3) testing

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

Introduction to Microfabrication

Introduction to Microfabrication 2005 Introduction to Microfabrication 1 1.1 Microfabrication disciplines Microfabrication technologies IC industry and related industries MEMS, solar cells, flat-panel displays, optelectronics In-plane

More information

03_委託テーマ発表資料(その2)(p.89-p.134).pdf

03_委託テーマ発表資料(その2)(p.89-p.134).pdf 89 MEMS 2 / 5-0 0-20 90 3 Beyond-CMOS CNT CNT CNT NEC 4 NEDO (80 NEDO 2008.05 Nature Nanotechnology NEDO (8 22 CNT CNT NEDOPJ CNT NEDO M 3 5 Nature Nanotechnology 3, 289-294 (2008) 6 9 7 8 92 9 (!!! '!!!

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

16 (16) poly-si mJ/cm 2 ELA poly-si super cooled liquid, SCL [3] a-si poly-si [4] solid phase crystalization, SPC [5] mJ/cm 2 SPC SCL (di

16 (16) poly-si mJ/cm 2 ELA poly-si super cooled liquid, SCL [3] a-si poly-si [4] solid phase crystalization, SPC [5] mJ/cm 2 SPC SCL (di (15) 15 ELA により形成された poly-si 結晶成長様式 - グレイン形状と水素の関係 - Crystal Growth Mode of Poly-Si Prepared by ELA -Relationship between the Grain Morphology and ydrogens- Naoya KAWAMOTO (Dept. of Electrical and Electronic

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama 1 2 3 4 5 6 7 8 9 10 590nm 2200nm 0 1.739 1.713 5 1.708 1.682 10 1.678 1.652 0-10 0.061061 0.061061 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 1.0 x1.0 0.5 x0.5 0.2 x0.2 0.1 x0.1 bg/30ms

More information

untitled

untitled Tokyo Institute of Technology high-k/ In.53 Ga.47 As MOS - Defect Analysis of high-k/in.53 G a.47 As MOS Capacitor using capacitance voltage method,,, Darius Zade,,, Parhat Ahmet,,,,,, ~InGaAs high-k ~

More information

Taro12-認定-部門-ASG101-06

Taro12-認定-部門-ASG101-06 JIS Q 17025(ISO/IEC 17025(IDT)) JIS Q17025(ISO/IEC 17025(IDT)) --- --- JNLA JCSS ISO/IEC 17025 JIS Q 17025 IAJapan ILAC APLAC JIS Q17011 ISO/IEC 17011 MRA ILACAPLAC MRA IAJapan (3) JNLAJCSS (4) testing

More information

75 unit: mm Fig. Structure of model three-phase stacked transformer cores (a) Alternate-lap joint (b) Step-lap joint 3 4)

75 unit: mm Fig. Structure of model three-phase stacked transformer cores (a) Alternate-lap joint (b) Step-lap joint 3 4) 3 * 35 (3), 7 Analysis of Local Magnetic Properties and Acoustic Noise in Three-Phase Stacked Transformer Core Model Masayoshi Ishida Kenichi Sadahiro Seiji Okabe 3.7 T 5 Hz..4 3 Synopsis: Methods of local

More information

1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11

1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 4 2 5F

More information

3次元LSI集積化技術

3次元LSI集積化技術 3 LSI 3D LSI Integration Technology あらまし LSI 33DI LSI Si TSV Wafer on Wafer WOW 3 45 nm CMOS LSI FeRAM 10 m 200 3 LSI Abstract The conventional enhancement of LSIs based on Moore s Law is approaching its

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション STRJ ITRS 2003 LSI 2004.3.4. MIRAI 100nmCMOS - Si SOI CMOS SOI MOSFET CMOS 100nmCMOS trade-off Sub 100 nm CMOS trade-off x j (ext. conc.) Nsub Vdd Vth design EOT S or Si Nsub EOT something S/D EOT SiGe

More information

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b

Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, b Terahertz Color Scanner Takeshi YASUI Terahertz THz spectroscopic imaging is an interesting new tool for nondestructive testing, security screening, biological imaging, and other applications because of

More information

untitled

untitled (a) (b) (c) (d) (e) (f) (g) (f) (a), (b) 1 He Gleiter 1) 5-25 nm 1/2 Hall-Petch 10 nm Hall-Petch 2) 3) 4) 2 mm 5000% 5) 1(e) 20 µm Pd, Zr 1(f) Fe 6) 10 nm 2 8) Al-- 1,500 MPa 9) 2 Fe 73.5 Si 13.5 B 9 Nb

More information

Fig. ph Si-O-Na H O Si- Na OH Si-O-Si OH Si-O Si-OH Si-O-Si Si-O Si-O Si-OH Si-OH Si-O-Si H O 6

Fig. ph Si-O-Na H O Si- Na OH Si-O-Si OH Si-O Si-OH Si-O-Si Si-O Si-O Si-OH Si-OH Si-O-Si H O 6 NMR ESR NMR 5 Fig. ph Si-O-Na H O Si- Na OH Si-O-Si OH Si-O Si-OH Si-O-Si Si-O Si-O Si-OH Si-OH Si-O-Si H O 6 Fig. (a) Na O-B -Si Na O-B Si Fig. (b) Na O-CaO-SiO Na O-CaO-B -Si. Na O-. CaO-. Si -. Al O

More information

no15

no15 Development of High Performance Catalyst Temperature Sensor for NOx Catalyst Control Atsushi KURANO Kaoru KUZUOKA Sotoo TAKAHASHI Itsuhei OGATA In order to meet each countrys low emission vehicle regulations

More information

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 42, No. 1, 2008 Li 2 B 4 O 7 (LBO) *, ** * ** ** Optical Scatterer and Crystal Growth Technology of LBO Single Crystal For Development with Optical Application

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2004 SPring-8 2004/6/21 CMOS 2004 2007 2010 2013 nm 90 65 45 32 (nm) 1.2 0.9 0.7 0.6 High-performance Logic Technology Requirements (ITRS 2003) 10 Photoelectron Intensity (arb.units) CTR a-sio2 0.1 HfO

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

2

2 1 = 2 3 r \ 1.1.1 Titrator 1.1 4 1.1.2 Polarograph 5 1.1.3.1 Electrolytic analyzer 1.1.3.2 Coulometric analyzer 6 1.1.4.1 ph ORP ph meter and ORP meter 7 ph ORP 1.1.4.2 Electrode type oxgen meter 8 DO

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

LM2940

LM2940 1A 3 1A 3 0.5V 1V 1A 3V 1A 5V 30mA (V IN V OUT 3V) 2 (60V) * C Converted to nat2000 DTD updated with tape and reel with the new package name. SN Mil-Aero: Order Info table - moved J-15 part from WG row

More information

スライド 1

スライド 1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect:

More information

Vol. 19, No. 3 (2012) 207 Fig. 2 Procedures for minute wiring onto polyimide substrate. Fig. 3 Ink - jet printing apparatus as part of laser sintering

Vol. 19, No. 3 (2012) 207 Fig. 2 Procedures for minute wiring onto polyimide substrate. Fig. 3 Ink - jet printing apparatus as part of laser sintering 206 : 316-8511 4-12 - 1 Laser Sintering Characteristics of Silver Nanoparticle Paste for Electronics Packaging YAMASAKI Kazuhiko, MAEKAWA Katsuhiro (Received January 10, 2012) Ibaraki University, Faculty

More information

特-4.indd

特-4.indd 1 000 Ni-Cr Tribological Characteristics of Ni-Cr Alloy at 1 000 C in Air R&D 1 000 Ni-Cr 1 000 Ni-Cr alloy sliding tests in atmosphere at 1 000 C were carried out and the process in which a glazed oxide

More information

0810_UIT250_soto

0810_UIT250_soto UIT UNIMETER SERIES 250 201 Accumulated UV Meter Digital UV Intensity Meter Research & Development CD Medical Biotech Sterilization Exposure Bonding Manufacturing Curing Production Electronic Components

More information

Laser Ablation Dynamics of Amorphous Film of a Cu-Phthalocyanine Derivative Masahiro HOSODA*,**, Hiroshi FURUTANI*,**. Hiroshi FUKUMURA*,** Hiroshi MASUHARA*, Masanobu NISHII*** Nobuyuki ICHINOSE**,***,

More information

X線分析の進歩36 別刷

X線分析の進歩36 別刷 X X X-Ray Fluorescence Analysis on Environmental Standard Reference Materials with a Dry Battery X-Ray Generator Hideshi ISHII, Hiroya MIYAUCHI, Tadashi HIOKI and Jun KAWAI Copyright The Discussion Group

More information

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm Neutron Visual Sensing Techniques Making Good Use of Computer Science J-PARC CT CT-PET TB IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm cm cm barn cm thn/ cm s n/ cm

More information

レーザ誘起蛍光法( LIF法) によるピストンの油膜挙動の解析

レーザ誘起蛍光法( LIF法) によるピストンの油膜挙動の解析 Analysis of Piston Oil Film Behavior by Using Laser Induced Fluorescence Method Shuzou Sanda, Akinori Saito ( Laser Induced Fluorescence Method LIF ) LIF Scanning -LIF Abstract Analysis of the oil film

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Drain Voltage (mv) 4 2 0-2 -4 0.0 0.2 0.4 0.6 0.8 1.0 Gate Voltage (V) Vds [V] 0.2 0.1 0.0-0.1-0.2-10 -8-6 -4-2 0 Vgs [V] 10 1000 1000 1000 1000 (LSI) Fe Catalyst Fe Catalyst Carbon nanotube 1~2 nm

More information

<8B5A8F70985F95B632936EE7B22E696E6464>

<8B5A8F70985F95B632936EE7B22E696E6464> 47 Electrical Discharge Truing for Electroplated Diamond Tools Koji Watanabe Hisashi Minami Hatsumi Hiramatsu Kiyonori Masui (211 7 8 ) Electroplated diamond tools are widely used for grinding because

More information

IEC :2014 (ed. 4) の概要 (ed. 2)

IEC :2014 (ed. 4) の概要 (ed. 2) IEC 60601-1-2:2014 (ed. 4) (ed. 2) e 2018 4 2 1 1 2 / 1 2.1............... 2 2.2............... 3 2.3.................. 4 3 6 4 6 4.1.................. 6 4.1.1............... 7 4.1.2....... 7 4.1.3............

More information

Frontier Simulation Software for Industrial Science

Frontier Simulation Software for Industrial Science PACS-CS FIRST 2005 2005 2 16 17 2 28 2 17 2 28 3 IT IT H14~H16 CHASE CHASE-3PT Protein Protein-DF ABINIT-MP 17 2 28 4 CMOS Si-CMOS CMOS-LSI CMOS ATP 10nm 17 2 28 5 17 2 28 6 CMOS CMOS-LSI LSI 90nm CMOS

More information

スライド 1

スライド 1 Matsuura Laboratory SiC SiC 13 2004 10 21 22 H-SiC ( C-SiC HOY Matsuura Laboratory n E C E D ( E F E T Matsuura Laboratory Matsuura Laboratory DLTS Osaka Electro-Communication University Unoped n 3C-SiC

More information

燃焼圧センサ

燃焼圧センサ 49 Combustion Pressure Sensor Kouji Tsukada, Masaharu Takeuchi, Sanae Tokumitsu, Yoshiteru Ohmura, Kazuyoshi Kawaguchi π 1000N 150 225N 1 F.S Abstract A new combustion pressure sensor capable of measuring

More information

Description

Description Metal Hybrid Inductor Description Metal Hybrid Inductor Magnetically shielded Suitable for Large Current Size: 4.3 x 4.3 x H2.1 mm Max. Product weight:.18g (Ref.) Halogen Free available Operating temperature

More information

SI SI CIPM MRA

SI SI CIPM MRA JAB RL331-2008 2008 11 1 2008 9 1 2008-09-01-1/25-1 2008-11-01 ... 3... 3... 4 3.1...4 3.2...4... 5... 7... 8 6.1...8 6.1.1 SI...8 6.1.2 SI...9 6.2...9 6.3...9 6.4...10... 10 7.1 CIPM MRA...10 7.2 135...11

More information

2

2 Rb Rb Rb :10256010 2 3 1 5 1.1....................................... 5 1.2............................................. 5 1.3........................................ 6 2 7 2.1.........................................

More information

MOSFET HiSIM HiSIM2 1

MOSFET HiSIM HiSIM2 1 MOSFET 2007 11 19 HiSIM HiSIM2 1 p/n Junction Shockley - - on-quasi-static - - - Y- HiSIM2 2 Wilson E f E c E g E v Bandgap: E g Fermi Level: E f HiSIM2 3 a Si 1s 2s 2p 3s 3p HiSIM2 4 Fermi-Dirac Distribution

More information

食糧 その科学と技術 No.43( )

食糧 その科学と技術 No.43( ) 17 DNA SEM, Scanning electron microscope TEM, transmission electron microscope X NMR AFM, atomic force microscopy SPM, scanning probe microscopy 1 SPM SPM AFM SNOM NSOM, Scanning near-field optical microscope

More information

Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Enginee

Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Enginee Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Engineering, Kanazawa University, Kakuma-machi, Kanazawa-shi,

More information

渡辺(2309)_渡辺(2309)

渡辺(2309)_渡辺(2309) [ 29 p. 241-247 (2011)] ** *** ** ** Development of a nickel-based filler metal containing a small amount of silicon by WATANABE Takehiko, WAKATSUKI Ken, YANAGISAWA Atsusi and SASAKI Tomohiro Authors tried

More information

LM150/LM350A/LM350 3A 可変型レギュレータ

LM150/LM350A/LM350 3A 可変型レギュレータ LM150,LM350,LM350A LM150/LM350A/LM350 3-Amp Adjustable Regulators Literature Number: JAJSBC0 LM350A/LM350 3A LM350 1.2V 33V 3A 3 IC 2 & IC ADJ 6 ADJ LM350 100V ADJ LM350 ADJ 1.2V 3A LM350A 3A LM350 3A

More information

, vol.43, no.2, pp.71 77, Simultaneous Measurement of Film Thickness and Surface Profile of Film-Covered Objects by Using White-Light Interfer

, vol.43, no.2, pp.71 77, Simultaneous Measurement of Film Thickness and Surface Profile of Film-Covered Objects by Using White-Light Interfer , vol.43, no.2, pp.71 77, 2007. 1 Simultaneous Measurement of Film Thickness and Surface Profile of Film-Covered Objects by Using White-Light Interferometry 1 2 3 1 3 1 ( ) 1-1-45 2 ( ) 1 3 2-12-1 sugi@cs.titech.ac.jp

More information

プラズマ核融合学会誌11月【81‐11】/小特集5

プラズマ核融合学会誌11月【81‐11】/小特集5 Japan Atomic Energy Agency, Ibaraki 311-0193, Japan 1) Kyoto University, Uji 611-0011, Japan 2) National Institute of Advanced Industrial Science and Technology, Tsukuba 305-8569, Japan 3) Central Research

More information

42 3 u = (37) MeV/c 2 (3.4) [1] u amu m p m n [1] m H [2] m p = (4) MeV/c 2 = (13) u m n = (4) MeV/c 2 =

42 3 u = (37) MeV/c 2 (3.4) [1] u amu m p m n [1] m H [2] m p = (4) MeV/c 2 = (13) u m n = (4) MeV/c 2 = 3 3.1 3.1.1 kg m s J = kg m 2 s 2 MeV MeV [1] 1MeV=1 6 ev = 1.62 176 462 (63) 1 13 J (3.1) [1] 1MeV/c 2 =1.782 661 731 (7) 1 3 kg (3.2) c =1 MeV (atomic mass unit) 12 C u = 1 12 M(12 C) (3.3) 41 42 3 u

More information

T05_Nd-Fe-B磁石.indd

T05_Nd-Fe-B磁石.indd Influence of Intergranular Grain Boundary Phases on Coercivity in Nd-Fe-B-based Magnets Takeshi Nishiuchi Teruo Kohashi Isao Kitagawa Akira Sugawara Hiroyuki Yamamoto To determine how to increase the coercivity

More information

untitled

untitled 98 17 (2005) 81 () () E-mail : uesugi@mx4.ttcn.ne.jp 1) 1 2 3 QE 4 LSI 5 6L 18 7 8 9 10 11 12 2) 13 14() 15 1617 18 AN SN 19. 2 20 21 22 () 3) 23 SN 24() - 2 25 26 27(1) 28 (2) 4) 29 30QE 31() 32 () 33

More information

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 0 過去 40 年の

More information

DIN Connector_p2-25.qxd

DIN Connector_p2-25.qxd INDEX 1 DIN Connectors Varieties of DIN Connectors, Kinked Contact and One Touch Lock Metal Tab Kinked Contacts One Touch Lock Metal Tabs 2 Spec Sheet Soldering/Wire wrapping type Pitch Rated current 3A

More information

PowerPoint Presentation

PowerPoint Presentation / 2008/04/04 Ferran Salleras 1 2 40Gb/s 40Gb/s PC QD PC: QD: e.g. PCQD PC/QD 3 CP-ON SP T CP-OFF PC/QD-SMZ T ~ps, 40Gb/s ~100fJ T CP-ON CP-OFF 500µm500µm Photonic Crystal SMZ K. Tajima, JJAP, 1993. Control

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射

1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射 1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射線技術科 緒言 3D PET/CT Fusion 1 liquid crystal display:

More information

Vol. 21, No. 2 (2014) W 3 mm SUS304 Ni 650 HV 810 HV Ni Ni Table1 Ni Ni μm SUS mm w 50 mm l 3 mm t 2.2 Fig. 1 XY Fig. 3 Sch

Vol. 21, No. 2 (2014) W 3 mm SUS304 Ni 650 HV 810 HV Ni Ni Table1 Ni Ni μm SUS mm w 50 mm l 3 mm t 2.2 Fig. 1 XY Fig. 3 Sch 110 : 565-0871 2-1 567-0871 11-1 660-0811 1-9 - 1 tanigawa@jwri.osaka - u.ac.jp Influence of Laser Beam Profile on Cladding Layer TANIGAWA Daichi, ABE Nobuyuki, TSUKAMOTO Masahiro, HAYASHI Yoshihiko, YAMAZAKI

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

Optical Lenses CCD Camera Laser Sheet Wind Turbine with med Diffuser Pitot Tube PC Fig.1 Experimental facility. Transparent Diffuser Double Pulsed Nd:

Optical Lenses CCD Camera Laser Sheet Wind Turbine with med Diffuser Pitot Tube PC Fig.1 Experimental facility. Transparent Diffuser Double Pulsed Nd: *1 *2 *3 PIV Measurement of Field of the Wind Turbine with a med Diffuser Kazuhiko TOSHIMITSU *4, Koutarou NISHIKAWA and Yuji OHYA *4 Department of Mechanical Engineering, Matsue National Collage of Technology,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth

Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth Studies of Foot Form for Footwear Design (Part 9) : Characteristics of the Foot Form of Young and Elder Women Based on their Sizes of Ball Joint Girth and Foot Breadth Akiko Yamamoto Fukuoka Women's University,

More information

untitled

untitled 27.2.9 TOF-SIMS SIMS TOF-SIMS SIMS Mass Spectrometer ABCDE + ABC+ DE + Primary Ions: 1 12 ions/cm 2 Molecular Fragmentation Region ABCDE ABCDE 1 15 atoms/cm 2 Molecular Desorption Region Why TOF-SIMS?

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

高周波同軸コネクタ

高周波同軸コネクタ RF circuit ANT Probe Signal in Signal out Probe Signal out Signal in RF circuit ANT Probe Probe Signal in Signal out Signal out Signal in RF ANT. RF ANT. Probe Probe Signal out Signal out Signal in Signal

More information

Telescope aperture 1.5mφ Telescope length Fit within the H-IIA nose fairing Spatial resolution 0.1" in UV 0.16" in Vis/NIR (Diffraction limit of 1.5mφ

Telescope aperture 1.5mφ Telescope length Fit within the H-IIA nose fairing Spatial resolution 0.1 in UV 0.16 in Vis/NIR (Diffraction limit of 1.5mφ , (NAOJ) (Kyoto U.) Telescope aperture 1.5mφ Telescope length Fit within the H-IIA nose fairing Spatial resolution 0.1" in UV 0.16" in Vis/NIR (Diffraction limit of 1.5mφ at 1µm ) FOV ~200" x 200" to cover

More information

<95DB8C9288E397C389C88A E696E6462>

<95DB8C9288E397C389C88A E696E6462> 2011 Vol.60 No.2 p.138 147 Performance of the Japanese long-term care benefit: An International comparison based on OECD health data Mie MORIKAWA[1] Takako TSUTSUI[2] [1]National Institute of Public Health,

More information

チョークコイル・リアクタ

チョークコイル・リアクタ THIN TRNSORMRS (MPT TYP) OMSTI(JPN) U S I TYP MPT MPT series are available for the thinner-sized sets and suit to I standard. H MPT I KS5535 KS6435 KS7235 KS7250 KS7270 KS9640 KS9660 KS9680 KS00 75.0 67.0

More information

untitled

untitled - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - ..... - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - -- - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 - - 26 - - 27 - - 28 - - 29

More information

CuおよびCu‐Sn系化合物のSn‐Pbはんだ濡れ性解析

CuおよびCu‐Sn系化合物のSn‐Pbはんだ濡れ性解析 61 Wettability of Cu and Cu-Sn Intermetallic Compound by Sn-Pb Solder Alloy Hisaaki Takao, Nobuyuki Yamamoto, Hideo Hasegawa CuCu-Sn Cu 150 C 2h55nmCu 2 O Cu Cu-Sn 5nm Cu-Sn Cu SnCu-Sn Wettability of Cu

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

cms.pdf

cms.pdf RoHS compliant INTERNAL STRUTURE FEATURES Part name over Slider Housing Slider contact Fixed contact Terminal pin lick spring Ground terminal Material Steel (SP), Tin-plated Polyamide opper alloy, Gold-plated

More information

目次 1 タイムテーブル 1. タイムテーブル 1 2. 会場 4 3. フロアマップ 5 4. 特別招待講演 5 5. ポスターセッション 5 6. オーサーズコーナー 6 7. イブニングセッション 6 8. 商業展示 コマーシャルセッション 6 9. Luncheon Seminar 6 10

目次 1 タイムテーブル 1. タイムテーブル 1 2. 会場 4 3. フロアマップ 5 4. 特別招待講演 5 5. ポスターセッション 5 6. オーサーズコーナー 6 7. イブニングセッション 6 8. 商業展示 コマーシャルセッション 6 9. Luncheon Seminar 6 10 目次 1 タイムテーブル 1. タイムテーブル 1 2. 会場 4 3. フロアマップ 5 4. 特別招待講演 5 5. ポスターセッション 5 6. オーサーズコーナー 6 7. イブニングセッション 6 8. 商業展示 コマーシャルセッション 6 9. Luncheon Seminar 6 10. 参加費 6 11. 参加申込要領 7 12. キャンセル規定 8 13. 宿泊施設のご案内 8 14.

More information

Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 (

Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 ( Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 ( トレセンティーテクノロジーズ ) 内田英次 ( 沖 ) 宮武浩 / 藤原伸夫 ( 三菱 ) 北島洋 (Selete)

More information

スライド 1

スライド 1 WEEE RoHS Supply Chain Management 10.29 1 2 3 4 WEEE WEEE RoHS RoHS TAC TAC Official Journal ( Official Journal ( 2003 2003 2 13 13 WEEE WEEE 9 2003 2003 12 12 31 31 RoHS RoHS 2004 2004 7 5 TAC TAC Work

More information

Company_2801.ai

Company_2801.ai Park Systems www.parkafm.co.jp Park Systems Enabling Nanoscale Advances ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ Courtesy NASA/JPL-Caltech Park Systems Enabling Nanoscale Advances 5 98 988 997 Prof. C.F. Quate

More information

Operation_test_of_SOFIST

Operation_test_of_SOFIST ILC :SOFIST 2 29 1 18 SOI ILC SOI SOFIST SOFISTver.1 SOFISTver.1 SOFIST SOFISTver.1 S/N BPW 1 1 4 1.1............... 4 1.1.1... 4 1.1.2... 5 1.2 ILC... 6 1.2.1 ILC... 6 1.2.2 ILD...........................

More information

Temperature Rise in a Birefringent Substrate by RF Discharge Plasma Koichi Takaki, Member, Kunioh Sayama, Student Member, Atsushi Takahashi, Student M

Temperature Rise in a Birefringent Substrate by RF Discharge Plasma Koichi Takaki, Member, Kunioh Sayama, Student Member, Atsushi Takahashi, Student M Temperature Rise in a Birefringent Substrate by RF Discharge Plasma Koichi Takaki, Member, Kunioh Sayama, Student Member, Atsushi Takahashi, Student Member, Tamiya Fujiwara, Member (Iwate University),

More information

Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Re

Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Re Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Research Laboratory Osamu HIROSE Maya OZAKI This paper

More information

走査型プローブ顕微鏡によるラテックス/デンプンブレンドフィルムの相分離状態の観察

走査型プローブ顕微鏡によるラテックス/デンプンブレンドフィルムの相分離状態の観察 紙パ技協誌, 53(3): 107-113(1999) * 1 Observation of Separated Domains in SB-latex/Starch blend film by Scanning Probe Microscope Toshiharu Enomae and Fumihiko Onabe Graduate School of Agricultural and Life

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

4/15 No.

4/15 No. 4/15 No. 1 4/15 No. 4/15 No. 3 Particle of mass m moving in a potential V(r) V(r) m i ψ t = m ψ(r,t)+v(r)ψ(r,t) ψ(r,t) = ϕ(r)e iωt ψ(r,t) Wave function steady state m ϕ(r)+v(r)ϕ(r) = εϕ(r) Eigenvalue problem

More information