半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

Size: px
Start display at page:

Download "半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術"

Transcription

1 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ

2 0 過去 40 年の Technology Node の進歩 Technology Node (µm) US Company Japan Company 994 SIA NTRS 997 SIA-NTRS 999 ITRS 200 ITRS, 2002 Update 各社の戦略 Technology W/W Wafer 能力 Year 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 2

3 Roadmap Editions public.itrs.net ITRS の歴 2002ITRS Update 997NTRS 200ITRS Europe Japan 994NTRS 2000ITRS Korea Update 992NTRS Taiwan 999ITRS USA 200 Edition 99 Micro Tech 2000 Workshop Report 998ITRS Update 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 3

4 2002 年 ITRS-Update におけるテクノロジノード表 ITRS 200 YEAR OF PRODUCTION DRAM ½ Pitch (nm) MPU/ASIC½Pitch (nm) MPU Printed Gate Length (nm) MPU Physical Gate Length) (nm) ASIC/LP Printed Gate Length (nm) ASIC/LP Physical Gate Length) (nm) [MPU Gate Length Cycle (GL)] [Node = DRAM Half Pitch (HP)] [2 year cycle] [3year cycle] [3-Year Node Cycle] 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 4

5 過去 40 年の面積あたり半導体売上の変化 Semiconductor Economics Workshop 2000より WW Semiconductor Industry Trends % CAGR Revenue, M$ 6% CAGR % CAGR Silicon, Mcm2 0 7% Revenue, $ / cm2 4%.5% Source Data: VLSI Research International SEMATECH 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 5

6 I-SEMATECH の Economic Model について Global Economics Symposium 2000 より マクロ半導体経済モデルにより 需要 生産性等から必要な能力 投資 コストを予測し ロードマップの経済的妥当性を検討しようとしている 生産トレント フ ロタ クトク ル - フ (G) 別 -SEMICO テクノロシ ウエハサイス 分布フ ロタ クト G 別 Fab 稼働率 フ ロタ クト G 別 Fab 歩留立上テクノロシ ウエハサイス 別 Fab Downgrade フ ロタ クト G テクノロシ ウエハサイス 別 ウエハ面積需要フ ロタ クト G 別 Si 面積需要フ ロタ クト G テクノロシ ウエハサイス 別 Fab 需要フ ロタ クト G テクノロシ ウエハサイス 別 Fab Upgrade フ ロタ クト G テクノロシ ウエハサイス 毎 Fab 転換 閉鎖 フ ロタ クト G 別 売上 フ ロタ クト G 別 ウエハ需要フ ロタ クト G テクノロシ ウエハサイス 別 生産性向上 償却フ ロタ クト G 別 Fab 能力フ ロタ クト G テクノロシ ウエハサイス 別 Fab 投資 ( 建家 装置 ) フ ロタ クト G テクノロシ ウエハサイス 毎 歩留 集積度フ ロタ クト G テクノロシ ウエハサイス 別 Trs 数 生産性フ ロタ クト G 別 ウエハ製造コストフ ロタ クト G テクノロシ ウエハサイス 毎 ウエハ製造コスト フ ロタ クト G 別 コスト 投資フ ロタ クト G テクノロシ ウエハサイス 別 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ

7 2003 年 2 月のIEMにおけるModel Calibration 2 (to Fab Equipment Market) 3 4 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 7

8 Technology Node - DRAM Half - Pitch (nm) 00 0 テクノロジーノード (Half Pitch) ITRS Update 2002 より (200 ITRS と同じ ) 2-year Node Cycle 3-year Node Cycle 2002 DRAM ½ Pitch 2002 MPU/ASIC ½ Pitch 999 ITRS DRAM Half-Pitch Age of Nanotechnology 2 90nm 2003 or 2004? Year of Production 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 8

9 内容 今までの半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 9

10 0 Technology Node の進歩と Red Brick Wall 電卓 時計 MF, PC, アナロク 家電インタネット テ ィシ タル家電ユヒ キタス X, ロホ ット等 電卓用 LSI が技術牽引 DRAM/ メインフレームが牽引 IDM 主の競争 MPU/SoC が牽引ファウンドリ台頭 技術牽引テ ハ イス? ビジネスモデル? Technology Node (µm) 0. US Company Japan Company 994 SIA NTRS 997 SIA-NTRS 999 ITRS 200 ITRS, 2002 Update Red Brick Wall Year 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ

11 リソグラフィソリューション候補 ITRS Update 2002 より First Year of IC Production Technology Options at Technology Nodes (DRAM Half Pitch, nm nm + PSM 93 nm 93 nm + PSM PEL 57 nm EUV, EPL ML2 IPL, PEL, PXL EUV EPL ML2 IPL, PEL, PXL EUV EPL ML2 IPL, PEL, PXL EUV, EPL ML2 Innovative technology IPL, PEL, XPL Narrow Options Narrow Options Narrow Options Narrow Options DRAM Half Pitch (Dense Lines) Research Required Development Underway Qualification/Pre-Production This legend indicates the time during which research, developmen t, and qualification/pre -production should be taking place for the solution. EUV = extreme ultraviolet EPL = electron projection lithography ML2 = maskless lithography IPL = ion projection lithography PXL = proximity x- ray lithography PEL = proximity electron lithography Technologies shown in italics have only single region support 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ

12 リソグラフィソリューション候補の装置価格上昇予測 ITRS 200 Lithography Working Group より $50M Exposure tool price $40M $30M $20M $M Historical tool prices $ Year 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ

13 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 3 絶縁膜技術の課題 ITRS 2002 Update より EOT EOT EOT Ig (pa/um) Ig (na/um) Ig (na/um) Lg Lg Lg LSTP LOP MPU/ ASIC EOT EOT EOT Ig (pa/um) Ig (na/um) Ig (na/um) Lg Lg Lg LSTP LOP MPU/ ASIC Lg は低スタンドバイ電力 LSTP 用途では 年遅延!

14 ITRS の予測する等価膜厚 EOT 低減とゲートリーク ITRS 200 FEP WG より 3.0 LSTP EOT (nm) HP Gate leakage! Drive high-k Gate leakage! LOP Process controllability & Reliability! Year 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 4

15 新絶縁膜材料によるゲートリークの低減 ITRS 200 FEP WG より Gate leakage current (A/cm 2 ) E6 E4 E2 E0.E+06.E+05.E+04.E+03.E+02.E+0.E+00.E-0 E-2.E-02.E-03 E-4.E-04.E-05 E-6.E-06.E-07 E-8.E-08.E-09 La2O3 HP;High perf. LOP;Low Op. Power LSTP; Low Stn d-by Power Jgleak SiON @V HfO2 SiO Equivalent physical oxide thickness (nm) 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 5

16 0,000 MPU クロック周波数の推移 ITRS 2002 Update (200 ITRS に同じ ) 999 ITRS 200 ITRS Frequency (MHz),000, X / 4 Years 加速またはインヘ - ションなしでは過去のトレント には乗らない 過去のトレント は 2X / 2½ Years Gate Scaling Transistor Design により7-9%/ 年 2X / 2-2½ Years アークテクチャで 2-3 %/ 年進歩 Sources: Sematech, 200 ITRS ORTC 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 6

17 0.0 消費電力の推移と設計の課題 2000 Cool-chip Symposium より Voltage (V) V 963 CMOS Hitachi 2-V 97 E/D NMOS 5V 973 CCMOS 993 Switched source Impedance 978 Hi-CMOS 996 VTCMOS CMOS Logic for Watches 3.3V 996 MTCMOS.8V 2000 SaVtCMOS LSTP 200 ITRS HP Year 出典 : T. Makimoto, 2000 Cool-chip Symposium T. Masuhara, The Best and the Worst in Digital IC Design, 999 ISSCC Panel Discussion 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJワークショップ 7

18 0 マイクロフ ロサッサの消費電力の推移 Hot Chips for PCs, EWS & Servers Performance Limited due to Power Dissipation Power (W/Chip) Cool Chips for Portable PC & Mobile Power is the Major Issue 出典 : T. Makimoto, 2000 Cool-chip Symposium Year 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 8

19 消費電力の推移に対応するデバイスの課題 ITRS 200 FEP WG より 960s 970s 980s 990s 2000s 20s Watch Chip Calculator Static RAM μprocessor Flash DRAM PMOS PMOS CMOS CMOS NMOS CMOS NMOS CMOS NMOS CMOS NMOS CMOS 新 Trs 歪 Si Ultra -Thin Body Fin- FET + 新 CMOS 新回路?? Server/MF Bipolar ECL Bi-CMOS CMOS 出典 : T. Makimoto, 2000 Cool-chip Symposium 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 9

20 Non-Classical CMOS Devices の候補 SiGe Ultra-thin silicon body Top & bottom gates SiGe (Strained- 歪 Si) FD-SOI(Strained Si) Double gate Source Double gates Drain Electron Current Flow Vertical MOSFET 課題メモリ共存 アナロク 共存 タ イナミック回路 (Domino 等 ) 回路解析モテ ル CMOS での IP 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 20

21 ロードマップの検討にコストモデルが必要 営業利益 販管費 研究開発費用 製造間接費 直接労働費 前 後工程材料費用 研究開発費 SoC 設計 テスト開発費の増大 IP, メモリ使用比率増大 2 信号インテク リティ 素子ばらつき増大に対応した設計収束の困難化 3 新構造導入 新材料を用いた次世代 次々世代プロセスの研究費増大 製造費用 工程数 保守費増加 テスト時間増加 2 装置複雑化による稼働率低下はないか 自動化 標準化 にどう対応するか 3 環境問題に対応するコストの増大 事前予測 材料費 プロセス複雑化 工程数増大 配線層数増加により増加 2 マスクコスト上昇 マスク使用ウエハ数減 3 PKG アセンフ リの高価格化 前 後工程装置償却費用 装置償却費 装置台数 ( 配線 ) および 装置価格高騰化 ( とくにリソ テスタ等 ) 2 稼働率上昇ニ - ス (COO, OEE は限定的 ) 3 短期生産 装置更新期間短縮 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 2

22 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン 今後に向けての課題 日本半導体産業の再活性化に向けて STRJ の中で半導体産業と技術開発の経済性について検討する小委員会を発足させた 2 日本の半導体 装置 材料産業が技術限界 -Red Brick Wall- を超え 技術の複雑化と開発難度増大によるコスト課題を超えて発展するには何が必要か検討する 3 日本半導体産業復活に寄与できる新しい時代のロ - ドマップ活動 および STRJ 活動は如何にあるべきか 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 22

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt ITRS 2013 年版の概要と ITRS の編集方針 JEITA 半導体技術ロードマップ委員会 (STRJ) 委員長石内秀美 (( 株 ) 東芝 ) 本講演は ITRS でまとめた技術ロードマップについて説明したもので ITRS 参加企業 団体 JEITA 会員企業の個別の製品や技術開発の方向について説明したものではありません 1 主要略語一覧 (Glossary) ERD: Emerging Research

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 (

Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 ( Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 ( トレセンティーテクノロジーズ ) 内田英次 ( 沖 ) 宮武浩 / 藤原伸夫 ( 三菱 ) 北島洋 (Selete)

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

Microsoft PowerPoint - (3)パネル_中屋フェロー_SP

Microsoft PowerPoint - (3)パネル_中屋フェロー_SP 平成 27 年度 TSC Foresight セミナー ( 第 3 回 ) < 第 3 部パネルディスカッション > 人工知能 ロボットと電子 情報技術が織り成す新たな社会像 - コンピューティング技術 IoT 技術の進展による新たな産業革命ー 国立研究開発法人新エネルギー 産業技術総合開発機構 技術戦略研究センターフェロー 2015 年 11 月 12 日 中屋雅夫 パネリスト モデレータ パネリスト

More information

スライド 1

スライド 1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

スライド 1

スライド 1 WG6(PIDS 及び RF&AMS) 活動報告 ロジックおよびメモリデバイスの スケーリングトレンド ~FinFET で大きく変わるロジックトレンド STRJ WS 2013 2014 年 3 月 7 日品川 : コクヨホール WG6 6 主査 : 尾田秀一 ( ルネサスエレクトロニクス ) 1 用語集 PIDS (Process Integration, Devices, and Structures)

More information

日立評論2008年1月号 : 基盤技術製品

日立評論2008年1月号 : 基盤技術製品 Infrastructure Technology / Products HIGHLIGHTS 2008 HDD 2.5 HDD3.5 HDD 1 Deskstar 7K1000 HDD Hard Disk Drive 2006 5 PC 2.5 HDD HDD 3.5 HDD1 1 2007 3Deskstar 7K1000 3.5 HDD 1149 Deskstar 7K500 2 GMR Giant

More information

untitled

untitled 1-1 1.CMOS 技術の最前線 国際半導体技術ロードマップから見た CMOS 技術動向 Trends in CMOS Technology Based on ITRS 2011 Edition 石内秀美 ITRS( 国際半導体技術ロードマップ ) は, 世界 5 極 ( 欧州, 日本, 韓国, 台湾, 米国 ) の半導体工業会 (ESIA,JEI- TA,KSIA,TSIA,SIA) がスポンサーとなって,

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt シリコンナノワイヤ pfet における正孔移動度 平本俊郎陳杰智, 更屋拓哉東京大学生産技術研究所 hiramoto@nano.iis.u-tokyo.ac.jp 1. ナノワイヤトランジスタの位置付け 2. ナノワイヤ FET の移動度測定 3. ナノワイヤ nfet と pfet の移動度 4. まとめ 本研究の一部は,NEDO のプロジェクト ナノエレクトロニクス半導体材利用 新構造なの電子デバイス技術開発

More information

Spansion_Corporate_Presentation

Spansion_Corporate_Presentation 世界の半導体動向と 生き残りをかけた日本の半導体 株式会社スパンション イノベイツ デザイン代表取締役社長兼スパンション イノベイツ株式会社技術本部長代理独古康昭 1.Oct. 2013 1 2013 SIDL. 世界の半導体半導体動向 2 2013 SIDL. 半導体会社構造 Business R&D Products Customer Strength Weakness Model Fabless

More information

SEIKO EPSON CORPORATION

SEIKO EPSON CORPORATION 2004 Digital Image Innovation SEIKO EPSON CORPORATION SEIKO EPSON CORPORATION 1 2 SEIKO EPSON CORPORATION TFT 1 Print Image Matching SEIKO EPSON CORPORATION 3 4 SEIKO EPSON CORPORATION 15 10 10 9 9 18

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 Encore SSIS 10 c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 SSIS NOSIDE PR SSIS SSIS PR 2000 5SSIS SSIS 1 2001 5 8 3 2004 SSIS 1 2 SSIS 24 SSISPR

More information

Taro12-イノベ-ション経営研究会

Taro12-イノベ-ション経営研究会 1 3 4 25. 31 46 54 63 63 71 79 90 BP 101 112 126 135 10 1990 21 1970 80 Made In America 80 90 1987 52 93 98-1 - (1) (2) (3) (4) - 2 - - 3 - ( 1980 1990 1990 10 21 PHP 1998. - 4 - 80 1976~1980 1987 52 1988

More information

3次元LSI集積化技術

3次元LSI集積化技術 3 LSI 3D LSI Integration Technology あらまし LSI 33DI LSI Si TSV Wafer on Wafer WOW 3 45 nm CMOS LSI FeRAM 10 m 200 3 LSI Abstract The conventional enhancement of LSIs based on Moore s Law is approaching its

More information

PIDS 委員 杉井寿博 ( リータ ー 富士通 ) 井上靖朗 ( サフ リータ ー 三菱 ) 井田次郎 ( 幹事 沖 ) 長島直樹 ( ソニー ) 只木芳隆 ( 日立 ) 麻殖生健二 ( 日立 ) 笠井直記 (Selete) 平本俊郎 ( 東京大学 ) 芝原健太郎 ( 広島大学 ) 澤田静雄 (

PIDS 委員 杉井寿博 ( リータ ー 富士通 ) 井上靖朗 ( サフ リータ ー 三菱 ) 井田次郎 ( 幹事 沖 ) 長島直樹 ( ソニー ) 只木芳隆 ( 日立 ) 麻殖生健二 ( 日立 ) 笠井直記 (Selete) 平本俊郎 ( 東京大学 ) 芝原健太郎 ( 広島大学 ) 澤田静雄 ( ロードマップの課題と今後のスケーリングについて [ 内容 ] 1. ロードマップ関連の課題 2. 今後のスケーリングについての調査 3. 新探求デバイスについて PIDS (Process Integration & Device Structures) WG 杉井 ( 富士通 ) 平本 ( 東京大学 ) PIDS 委員 杉井寿博 ( リータ ー 富士通 ) 井上靖朗 ( サフ リータ ー 三菱 )

More information

Company_2801.ai

Company_2801.ai Park Systems www.parkafm.co.jp Park Systems Enabling Nanoscale Advances ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ ㅣ Courtesy NASA/JPL-Caltech Park Systems Enabling Nanoscale Advances 5 98 988 997 Prof. C.F. Quate

More information

Microsoft PowerPoint - 隅谷様(パナソニック).ppt [互換モード]

Microsoft PowerPoint - 隅谷様(パナソニック).ppt [互換モード] SOC の低消費電力設計技術の 課題と解決策 - 設計生産性向上との両立に向けて- 2010 年 1 月 29 日 JEITA 半導体技術ロードマップ専門委員会 (STRJ) 設計ワーキンググループ (WG1) 委員 パナソニック ( 株 ) セミコンダクター社 隅谷三喜夫 発表内容 ITRS と STRJ STRJ WG1 のミッション メンバー 活動史 SOC の低消費電力設計技術の課題と解決策

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

untitled

untitled 20101221JST (SiC - Buried Gate Static Induction Transistor: SiC-BGSIT) SOURCE GATE N source layer p + n p + n p + n p+ n drift layer n + substrate DRAIN SiC-BGSIT (mωcm 2 ) 200 100 40 10 4 1 Si limit

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

スライド 1

スライド 1 半導体ロードマップの 過去 現在 未来 JEITA 半導体技術ロードマップ委員会 (STRJ) 委員長 石内秀美 ( ( 株 ) 東芝 ) 本講演は ITRS でまとめた技術ロードマップについて説明したもので ITRS 参加企業 団体 JEITA 会員企業の個別の製品や技術開発の方向について説明したものではありません Work in Progress - Do not publish 1 Work

More information

STRJ WS: March 4, 2003, 設計 TF/PIDS/FEP クロスカット 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男

STRJ WS: March 4, 2003, 設計 TF/PIDS/FEP クロスカット 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男 本クロスカットの目的と活動内容 低電力 SoCのロードマップ作成と問題点 技術課題の明確化 (1) モバイルマルチメディアの動向調査 (2) 現状 (0.18um) の低電力 SoCの分析 (3) 低電力 SoC 設計モデルの作成 ( 初期モデル

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI)

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI) DA DA シンポジウム 25 27 DAS25 Design Automation Symposium 25/8/26 28nm UTBB FDSOI SOI 28nm UTBB FDSOI Analysis of Soft Error Rates in a 28nm UTBB FDSOI Structure by DeviceLevel Simulation Shigehiro Umehara

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

untitled

untitled Tokyo Institute of Technology high-k/ In.53 Ga.47 As MOS - Defect Analysis of high-k/in.53 G a.47 As MOS Capacitor using capacitance voltage method,,, Darius Zade,,, Parhat Ahmet,,,,,, ~InGaAs high-k ~

More information

Linda

Linda 1 METROLOGY ITRS IC IC 1/10 FIN IC 2 3 SOI ITRS 2003 10 32nm FINFET High-k Low-k FEP SOI 22nm Cu ( ) CIM computer integrated manufacturing APC advanced process control CoO(Cost Of Ownership) 3 2005 CD

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Drain Voltage (mv) 4 2 0-2 -4 0.0 0.2 0.4 0.6 0.8 1.0 Gate Voltage (V) Vds [V] 0.2 0.1 0.0-0.1-0.2-10 -8-6 -4-2 0 Vgs [V] 10 1000 1000 1000 1000 (LSI) Fe Catalyst Fe Catalyst Carbon nanotube 1~2 nm

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション STRJ ITRS 2003 LSI 2004.3.4. MIRAI 100nmCMOS - Si SOI CMOS SOI MOSFET CMOS 100nmCMOS trade-off Sub 100 nm CMOS trade-off x j (ext. conc.) Nsub Vdd Vth design EOT S or Si Nsub EOT something S/D EOT SiGe

More information

LSI ( ) ( ) ( ) ( )

LSI ( ) ( ) ( ) ( ) 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 2 5F

More information

mobicom.dvi

mobicom.dvi 13Dynamic Voltage Scaling on a Low-Power Microprocessor Johan Pouwelse 5 Koen Langendoen Henk Sips Faculty of Information Technology and Systems Delft University of Technology, The Netherlands 1 78724

More information

INTERNATIONAL

INTERNATIONAL 国際半導体技術ロードマップ 2011 年版概要 INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 EDITION EXECUTIVE SUMMARY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

j9c11_avr.fm

j9c11_avr.fm AVR Type: AVR-M AVRL Issue date: September 211 RoHS EU Directive 22/95/EC PBB PBDE (1/1) SMD RoHS AVR AVR-M AVRL Varistor 2Zener diode Current(A) Positive direction 1 1 1 2 1 3 1 4 1 5 Zener diode /Vz:6.8V

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション WG6(PIDS 及び RF&AMS) 活動報告 ITRS2.0~ デバイス微細化の終焉と 3D Functional Scaling STRJ WS 2015 2016 年 3 月 4 日品川 : コクヨホール WG6 主査 : 福崎勇三 ( ソニー ) WG6 副主査 : 井上裕文 ( 東芝 ) Work in Progress - Do not publish STRJ WS: March 4,

More information

スライド 1

スライド 1 STRJ WS: March 9, 2006, 0.35µm 0.8µm 0.3µm STRJ WS: March 9, 2006, 2 0.35µm Lot-to-Lot, Wafer-to-Wafer, Die-to-Die(D2D) D2D 0.8µm (WID: Within Die) D2D vs. WID 0.3µm D2Dvs. WID STRJ WS: March 9, 2006,

More information

380-厚板06

380-厚板06 Development of Ironmaking Technology Abstract The Japanese steel industry has a long history of introducing new and innovative technologies in the field of ironmaking. The new technologies introduced during

More information

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal Inrush Current of Induction Motor on Applying Electric Power by Takao Itoi Abstract The transient currents flow into the windings of the induction motors when electric sources are suddenly applied to the

More information

ワールド・ワイド 9‐2/8.朴

ワールド・ワイド 9‐2/8.朴 186 100 40 12 Yoon, 2006. 1 2 IT 1997 IT GDP 1/3 IT IT 2/3 2003 2004 GDP 2 50 Kim Jung, 2005. 12 IT 1999 17.7 IMF 2004 IT 20.4 29.4 3 2005. 12. 26 IT CDMA LCD TV 4 1995 LG 7 IT 5 Lee, 2003 1996 CDMA 5

More information

スライド 1

スライド 1 微細化は終わり? ー リソグラフィ技術の最新動向 ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 デバイスメーカー微細化の状況 リソ微細化技術の状況 まとめ 1 WG5( リソグラフィ WG) の活動体制 -JEITA 半導体部会 / 関連会社 - 千々松達夫 / リーダー ( 富士通セミコンタ クター )

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 2017 OLED Manufacturing Equipment Annual Report SAMPLE 2017.06 1 目次 1. エグゼクティブサマリ 4 2. 基板及びTFT 工程分析 6 2.1 フレキシブルOLED 用基板 2.1 LTPS TFT 2.2 Oxide TFT 3. OLED 画素工程分析 20 3.1 RGB OLED 3.2 WRGB OLED 3.3 ソリューションプロセスOLED

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

AMR日本語版書式

AMR日本語版書式 ISSN 1347-4448 ISSN 1348-5504 5 2 (2006 2 ) 2005 11 16 1 UMC LSI SoC Solution Foundry 90nm 65nm 100nm UMC TSMC UMC SoC SoC 90nm 200mm 300mm 300mm UMC UMC UMC UMC SoC 1 2005 11 16 GBRC GBRC 2006 Global

More information

資料1-3

資料1-3 WPT (2017) ( ) *JST Center of Innovation ( 13- ) Last 5X * 16 8, 15 7, 14 6 METLAB 16 20, 15 18 WPT * IEEE MTTS Wireless Power Transfer Conference ( 11-, ) MTTS TC-26 (Wireless Energy Transfer and Conversion

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合(

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 理事長 : 豊木則行 / 以下 LEAP と略記 ) と国立大学法人東京大学は このたび マイコン等に使われる論理集積回路の大幅な省エネ化を可能とする

More information

南原

南原 The Foreign Trade and Navigation of the Port of Bangkok, The Foreign Trade and Navigation of the Kingdom of Siam, Annual Statement of the Foreign Trade and Navigation of the Kingdom of Siam The Foreign

More information

植物23巻2号

植物23巻2号 (J.SHITA)23(2):37-43. 2011. 37 520-0531 1-13-15 Operation Should be Automated and not so in Plant Factory for Leafy Vegetables Touichi OGURA Goodgoal Inc, 1-13-15 Suimei Otsu-shi, Shiga 520-0531, Japan

More information

電子回路I_4.ppt

電子回路I_4.ppt 電子回路 Ⅰ 第 4 回 電子回路 Ⅰ 5 1 講義内容 1. 半導体素子 ( ダイオードとトランジスタ ) 2. 基本回路 3. 増幅回路 電界効果トランジスタ (FET) 基本構造 基本動作動作原理 静特性 電子回路 Ⅰ 5 2 半導体素子 ( ダイオードとトランジスタ ) ダイオード (2 端子素子 ) トランジスタ (3 端子素子 ) バイポーラトランジスタ (Biolar) 電界効果トランジスタ

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

チョークコイル・リアクタ

チョークコイル・リアクタ THIN TRNSORMRS (MPT TYP) OMSTI(JPN) U S I TYP MPT MPT series are available for the thinner-sized sets and suit to I standard. H MPT I KS5535 KS6435 KS7235 KS7250 KS7270 KS9640 KS9660 KS9680 KS00 75.0 67.0

More information

記者発表開催について

記者発表開催について 2014 年 6 月 4 日 東京工業大学広報センター長大谷清 300mm ウエハーを厚さ 4µm に超薄化 -DRAM で検証 超小型大規模三次元メモリーに威力 - 概要 東京工業大学異種機能集積研究センターの大場隆之特任教授は ディスコ 富士通研究所 PEZY Computing( ペジーコンピューティング 東京都千代田区 ) WOW アライアンス ( 用語 1) と共同で 半導体メモリー (DRAM)

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション () 増幅回路の周波数特性 Frequency characteristic of amplifier circuit (2) 増幅回路の周波数特性 Frequency characteristic of amplifier circuit MOS トランジスタの高周波モデル High-frequency model for MOS FET ゲート酸化膜は薄いので G-S, G-D 間に静電容量が生じる

More information

Serial ATAテクノロジーとSerial Attached SCSIテクノロジー

Serial ATAテクノロジーとSerial Attached SCSIテクノロジー HP industry standard servers 2003 6 Serial ATA Serial Attached SCSI TC030605TB...2...2 HDD...2 ATA... 2 SCSI... 4... 5 LVD...5...6 8b/10b...6 Serial ATA...7 SATA 1.0... 7...7 SATA II... 9...9...9 SATA...

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7 電気電子工学専攻 54001 電磁波特論 2-0-0 電気電子コース EEE.S401 電気電子工学専攻 54002 無線通信工学 2-0-0 電気電子コース EEE.S451 Advanced Electromagnetic Waves ( 電磁波特論 ) Wireless Communication Engineering ( 無線通信工学 ) 旧電磁波特論あるいは旧 Advanced Electromagnetic

More information

表 1 ポリエチレン, ポリプロピレンにおける多品種生産の国際比較 -,,,

表 1 ポリエチレン, ポリプロピレンにおける多品種生産の国際比較 -,,, 査読論文 石油化学工業における多品種大量生産プロセスの成立と展開 ポリプロピレン生産プロセスを事例に * 中村真悟 要旨 キーワード : * / E-mail nakamura-s@tortuga.sakura.ne.jp 表 1 ポリエチレン, ポリプロピレンにおける多品種生産の国際比較 -,,, 1. チーグラー触媒の発見とプロピレン生産プロセスへの応用 I.C.I., I.C.I. I.C.I.

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

untitled

untitled Power Wall HPL1 10 B/F EXTREMETECH Supercomputing director bets $2,000 that we won t have exascale computing by 2020 One of the biggest problems standing in our way is power. [] http://www.extremetech.com/computing/155941

More information

Hitachi Field Matching Hitachi Recruiting My Page Hit

Hitachi Field Matching Hitachi Recruiting My Page Hit 01 02 03 Hitachi Field Matching 008 010 020 026 030 036 038 040 046 050 052 062 064 066 Hitachi Recruiting My Page 068 070 072 074 076 080 082 002 Hitachi Field Navigator Hitachi Field Navigator 003 BUSINESS

More information

No Slide Title

No Slide Title Mentor Graphics High Speed Board 2002 January 2002 Electronic Design and Solution Fair Agenda AutoActive / DRC Interconnectix / IBIS SPICE AutoActive + Interconnectix + EMI Sigrity SPEED2000 ( 2 AutoActive

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 63 No. 1 May 2017 Development of Simultaneous-Capture Wide-dynamic-range Technology and Global Shutter Technology for Organic Photoconductive Film Image Sensor Masashi

More information

untitled

untitled 2005 2 1 105-0004 5-34-3 Tel: 03-3431-4002 Fax: 03-3431-4044 1 SRL/ISTEC 1 1 SFQ SFQ SFQ 2004 9 4 SFQ SFQ / LSI 269 230 230 230 269 230 SFQ SFQ 2005 2 ISTEC 2005 All rights reserved. - 1 - 2005 2 1 105-0004

More information

MOSFET HiSIM HiSIM2 1

MOSFET HiSIM HiSIM2 1 MOSFET 2007 11 19 HiSIM HiSIM2 1 p/n Junction Shockley - - on-quasi-static - - - Y- HiSIM2 2 Wilson E f E c E g E v Bandgap: E g Fermi Level: E f HiSIM2 3 a Si 1s 2s 2p 3s 3p HiSIM2 4 Fermi-Dirac Distribution

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション WG3 Front-End Processes(FEP) 新材料 新構造の導入を支える FEP 技術 水島一郎 ( 東芝 ) 内容 STRJ FEP のメンバー スコープ 今年度の活動 新材料 新構造の導入と FEP 技術 Si ウェーハ 450mm 化状況 まとめ 略号 FeRAM: Ferroelectric Random Access Memory HP: High Performance /

More information

システムの政府調達に関する日米内外価格差調査

システムの政府調達に関する日米内外価格差調査 Table of Contents Executive Summary... 10 Methodology... 12... 13 PC... 13... 15... 17... 17... 18... 19 IT... 22 IT... 22 IT... 24 IT... 26 2001... 26 2002 IT... 33... 36... 36 List of Tables Table Page

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

Slide 1

Slide 1 INTEL プロセッサの 技術ロードマップ 2014 年 7 月 目次 Pentium から Ivy Bridge までの Intel の製品ライン 100 nm ノード超 (Gate-First) サブ 100 nm ノード : 90 nm および 65 nm (Gate-First) 45 nm 32nm および 22nm (Gate-Last 高誘電 メタルゲート ) 技術ノード 関連パラメータコンタクテッドゲートピッチ

More information

Slide 1

Slide 1 CMOS イメージセンサ向けプローブカードに求められる 信号の高速化と低電源ノイズ要求に対する最近の取り組みについて Minoru Mikami, Electrical Design Engineer Formfactor Inc. SPG Group Agenda 1. Overview 2. CIS(CMOS Image Sensor) Probe Card History 3. MIPI D-PHY

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 64 No. 2 Nov. 2018 Optical Disc Archiving System with 100 Years Lifespan of Digital Data Takuto Yamazaki Yasushi Kobayashi Blu-ray Disc 1 Archival Disc 2 3300 GB 10012

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

hν 688 358 979 309 308.123 Hz α α α α α α No.37 に示す Ti Sa レーザーで実現 術移転も成功し 図 9 に示すよ うに 2 時間は連続測定が可能な システムを実現した Advanced S o l i d S t a t e L a s e r s 2016, JTu2A.26 1-3. 今後は光周波 数比計測装置としてさらに改良 を加えていくとともに

More information

89865TVS_JA.fm

89865TVS_JA.fm VISHAY GENERAL SEMICONDUCTOR Transient Suppressors Application Note (TVS) Soo Man Sweetman Kim Senior Application Manager TVS Vishay General Semiconductor ITVS TVS TVS TVS 1 10 s 1000 sbellcore 1089 2

More information

untitled

untitled No.069 2008 [1] Vodafone 2006 Vodafone ( 0 ) 2006 10 (MNP) 2008 5 13 1 iphone 2008 7 11 ( ) ( ) ( ) ( ) 5 1 1989 2 Vodafone 2007 Vodafone 4 Hutchison Essar 188 Vodafone 2007-08 Vodafone 50% 14% 5% 3 Vodafone

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 1-1 情報デバイス工学特論 第 1 回 CMOS 集積回路概観 1-2 目的 現在の LSI の主流デバイスであるシリコン CMOS 集積回路を理解する 素子の製法 ( プロセス ) から動作原理 ( デバイス ) 素子の使い方 ( 回路 ) まで総合的に理解する 半導体集積回路 LSI : Large Scale Integrated Circuit 1-3 チップ ウエハ 現在は直径 12 インチ

More information