学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1

Size: px
Start display at page:

Download "学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1"

Transcription

1 学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1

2 研究背景 映像機器の高機能化に伴う映像の高解像化 ーレティナディスプレイー 4K ハイビジョンテレビ 低解像度の映像コンテンツが数多く存在 4K テレビ 解像度を高める研究 ( 超解像 ) ー高速ー低コストー解像度の精度 省メモリ指向ハードウェアアーキテクチャ 一枚超解像の FPGA 実装 Ser Resolu2on image- processing 2

3 超解像の分類 再構成型 1 映像や連写から複数枚の画像を用意 2 それぞれの位置を合わせる 3 画素を情報を対比させ繰り返しの処理を行う 学習型 1 予め画像のパターンを学習 2 入力画像とパターンを比較 3 パターンにより画素情報を復元し出力 3

4 実装するアルゴリズムの位置づけ 従来の超解像 複数枚の画像から高解像な画像を生成 学習パターンから高解像な画像を生成 本研究で用いた一枚超解像 一枚画像のみ使用 繰り返しなしのストレートフォワード処理 演算処理 演算処理 繰り返し演算 とても奇麗な出力が得られるが ー複数画像やデータベースを使用するので高コストー位置合わせやイタレーションが必要なため演算量が多い ーハードウェア指向ー高速処理ー低コスト 4

5 一枚超解像アルゴリズム 一枚超解像アルゴリズムフロー 1 縦横それぞれ 2 倍に拡大 2 拡大された画像にハイパスフィルタを施し エッジ信号を抽出 3 非線形処理によりエッジから高調波を取得 4 発生した高調波を元画像に加算することにより高解像な出力を得る 拡大 [1] では Lanczos 補間を用いて画像の拡大を行っている 本研究ではこれを提案手法へ拡張 [1]S.Goshi, A new signal processing method for video Reproduce the frequency spectrum exceeding the Nyquist frequency-, Proc. 3 rd Mul2media Systems Conf.,pp.47-52,

6 拡張した拡大部の説明 Lanczos 補間 sinc 関数に基づく補間法 Lanczos2 フィルタ 4 行の入力ラインバッファ バイキュービック補間に類似 Lanczos3 フィルタ 7 行の入力ラインバッファ 理想的な補間 考案手法 4 行の入力ラインバッファ ボックスフィルタリングに基づく処理 整数演算 ハードウェア指向 境界部におけるジャギーが少ない 低コストかつ高精度な補間が可能 サンプリングポイント ( 右側のみ ) Lanczos2 ではジャギーが無視できない Lanczos3 は高精度であるが計算コストや資源量が大きい Lanczos kernel B. Pribyl, Fine image re algrothm Proceedings of CESCG

7 拡大アルゴリズムのフロー 1 バイリニア補間を用いて入力画像を 4 倍する 2 拡大した画像の最隣 4 画素の最大値と最小値を算出 3 拡大した画像に 7 7 の移動平均フィルタをかけ ジャギーを除去 4 算出した最大値 最小値を用いてジャギーを除去した画像のエッジを復元 5 画像を半分に縮小し 最終的に入力画像の 2 倍の画像を出力 input Up bilinear x2 Up bilinear x2 Max, Min 4 neighbor Box filtering 7 7 Max Min Edge refinement Down output 7

8 拡大 : バイリニア拡大 線形補間を用いた拡大法 再近傍の平均を取り画素を補間 加算器 セレクタ シフタにより構成 input Up bilinear Max,Min 4 neighbor A B A B Box filtering 7 Edge Refine C D C D Down bilinear 1/2 output 回路セル P 1 = A + C 2, P = P 1 + P 2 3, P 2 = B + D 2 2, input1 Reg >>1 output1 input2 Reg >>1 >>1 output2 Bilinear 2 Bilinear 2

9 拡大 : ボックスフィルタリング 7 7 の移動平均フィルタ ジャギーを除去しエッジを平滑化 1 行のラインバッファ シフタ 加算器により構成 P1 P2 P3 P4 P5 P6 P7 P P9 3 3 のカーネルの場合 P1 P2 P3 P4 P5 P6 P7 P P9 P 5 = 1 9 (P 1 + P P 9 ) input Up bilinear Box filtering 7 回路セル Add data Shift Reg Max,Min 4 neighbor Edge Refine Down bilinear 1/2 Reg output output boxfiltering 7 7 Sub data Next data 9

10 拡大 :Max,Min の算出 最近傍点における輝度の最大値と最小値を算出 レジスタ 比較器により構成 input Up bilinear Max,Min 4 neighbor P1 P2 P3 P4 P5 P2 P1 P3 P4 Box filtering 7 Edge Refine P5 回路セル Down bilinear 1/2 output Max Min P 3 = max(p 1, P 2, P 5 ) P 3 = min(p 1, P 2, P 5 ) n e c w s Max 2nd 3rd 4th Min Max Min Reg Reg 10

11 拡大 : エッジリファイン 最近傍における輝度の最大値と最小値の間で傾きを立ち上げる ルックアップテーブル セレクタ 加算器により構成 Max Max input Up bilinear Box filtering 7 Max,Min 4 neighbor Edge Refine Max Min P Min Min 回路セル Down bilinear 1/2 output P' = (Max Min)*(1+ tanh(10 *(P / ))) 2 Min Boxfilter Look-Up Table Min Max Output Edge Refine

12 拡大 : バイリニア縮小 線形補間を用いた画像の縮小 近傍画素の平均を取り画像を縮小 レジスタ 加算器 シフタにより構成 input Up bilinear Max,Min 4 neighbor A B AP B Box filtering 7 Edge Refine C D P = A + B + C + D 4 C 回路セル Down bilinear 1/2 output input1 Reg >>2 Reg Output bilinear 1/2 input2 Reg 12

13 拡大部回路構成図 Max, Min Down bilinear 1/2 line Buffer line Buffer Max / Min Max / Min Max / Min Max / Min box filter box filter edge refinement edge refinement edge refinement edge refinement down down line Buffer line Buffer enlarged image (serial output) input image serial input) line Buffer 0 flow Up bilinear 4 flow box filter box filter line buffer Box filtering Edge refinement 13

14 ボックスフィルタ回路構成図 sub1 Box filter Shift Register add1 add2 add3 add4 output1 15 box filter box filter box filter box filter sub2 Shift Register output2 15 line buffer Box filtering sub3 Shift Register Shift Register output3 15 output4 sub4 15 Line buffer 14

15 超解像回路構成図 c' = c +α(c 4c + w + e + n + s ) 3 = c + α (4c w e n s)3 9 2 Input data s c n e s w n e line buffers (2N pixels) x 2 w n w c e (4c-s-n-w-e) (4c-s-n-w-e) EXT0 +1 : 9 output s c 15

16 FPGA 実装結果 FPGA について ボード :PowerMedusa,MU300-DVI, StartiX II (Altera) ソフトウェア : Quartos II 拡大部 開発言語 :Verilog HDL Register ALUT Fmax 1,62 2, MHz 超解像部 開発言語 :VHDL Verilog HDL DVI 入出力 60fps, VGA Register ALUT FPGA CLK 31,732 16,651 90MHz FPGA ボード MU300- DVI 16

17 画像出力結果 入力画像 ( ) 提案手法による拡大 ( ) 超解像出力 ( ) バイキュービック補間 + 超解像出力 ( ) bit グレースケール 200*200 画素の画像 提案手法とバイキュービック補間による超解像を比較 境界部におけるジャギーが大幅になくなり 高精度に高解像化 17

18 まとめ 研究の目的 ー高速かつハードウェア指向である一枚超解像の FPGA 実装ー画像の拡大における提案手法への拡張 FPGA 実装結果 拡大部 Register ALUT Fmax 1,62 2, MHz 超解像部 Register ALUT FPGA CLK 31,732 16,651 90MHz 画像出力結果 ー拡大部を提案手法に拡張した事で省メモリかつジャギーの少ない高精度な超解像を実現 1

スライド 1

スライド 1 超解像技術とは? 動画や静止画連写などで得られる複数の低解像度 (= 小さな ) 画像を組み合わせ 演算により高解像度の (= 大きな ) 画像を作り出す技術の事を一般に 超解像 技術と呼びます 超解像処理 高解像処理 (1 枚超解像 ) 超解像 のように複数の画像を用いるのではなく 1 枚の画像が持つ情報を深く解析する事で 高解像度の画像を得る最新技術です では 最新の画像処理技術により この高解像処理を実現しました

More information

目次 1 はじめに ラスタ画像 bit 数による差 画像拡大と画像補間法 ニアレストネイバー法 バイリニア法 バイキュービック法 Lanczos(n) 法 拡大画像の比

目次 1 はじめに ラスタ画像 bit 数による差 画像拡大と画像補間法 ニアレストネイバー法 バイリニア法 バイキュービック法 Lanczos(n) 法 拡大画像の比 2015 年度卒業研究論文 画像補間法による拡大 岡山理科大学総合情報学部情報科学科 I12I061 田中宏美 I12I063 仲陽美 目次 1 はじめに... 1 2 ラスタ画像... 1 2.1 bit 数による差... 2 3 画像拡大と画像補間法... 2 3.1 ニアレストネイバー法... 2 3.2 バイリニア法... 4 3.3 バイキュービック法... 6 3.4 Lanczos(n)

More information

Microsoft PowerPoint - Lec15 [互換モード]

Microsoft PowerPoint - Lec15 [互換モード] 情報デザイン専攻 画像情報処理論及び演習 II 周波数分解 FFT Gaussian フィルタと周波数分解 今日の授業内容 www.riken.jp/brict/yoshizawa/lectures/index.html www.riken.jp/brict/yoshizawa/lectures/lec5.pdf. 前回 前々回の復習 レポートの説明. 第 3, 回講義水曜日 限教室 68 吉澤信

More information

Microsoft PowerPoint - Lec24 [互換モード]

Microsoft PowerPoint - Lec24 [互換モード] 第 11 回講義水曜日 1 限教室 618 情報デザイン専攻 画像情報処理論及び演習 II - 動画像処理 - Video Styliztion 吉澤信 shin@riken.jp, 非常勤講師 大妻女子大学社会情報学部 今日の授業内容 www.riken.jp/brict/yoshizw/lectures/index.html www.riken.jp/brict/yoshizw/lectures/lec4.pdf

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装 コンテンツセントリックネットワークにおけるストリームデータ配信機構の実装 川崎賢弥, 阿多信吾, 村田正幸 大阪大学大学院情報科学研究科 大阪市立大学大学院工学研究科 2 発表内容 研究背景 研究目的 ストリームデータ配信機構の設計 ストリームデータのモデル化 コンテンツの名前構造 ストリームデータの要求とフロー制御 ストリームデータ配信機構の実装 動作デモンストレーション 3 コンテンツセントリックネットワーク

More information

Microsoft Word - 卒業論文.doc

Microsoft Word - 卒業論文.doc 006 年度卒業研究 画像補間法を用いた拡大画像の比較 岡山理科大学総合情報学部情報科学科 澤見研究室 I03I04 兼安俊治 I03I050 境永 目次 はじめに ラスタ画像 3 画像補間法 3. ニアレストネイバー法 3. バイリニア法 3.3 バイキュービック法 4 DCT を用いた拡大画像手法 5 FIR 法 6 評価 6. SNR 6. PSNR 7 実験 7. 主観評価 7. 客観評価

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

Microsoft PowerPoint - comprog11.pptx

Microsoft PowerPoint - comprog11.pptx Outline プログラミング演習第 回エッジを検出する on 3..4 電気通信大学情報理工学部知能機械工学科長井隆行 画像の本質 輝度の境目に情報あり! 画像の微分と 階微分 エッジ検出 画像をぼかす 本日の課題 画像の本質 エッジ抽出 画像の情報は境目にあり! エッジ 輝度が大きく変化しているところ ( 境界 ) 画像の情報はエッジにあり 輝度 人間の視覚系でも特定のエッジの方向に発火するニューロンが見つかっている

More information

Microsoft PowerPoint - pr_12_template-bs.pptx

Microsoft PowerPoint - pr_12_template-bs.pptx 12 回パターン検出と画像特徴 テンプレートマッチング 領域分割 画像特徴 テンプレート マッチング 1 テンプレートマッチング ( 図形 画像などの ) 型照合 Template Matching テンプレートと呼ばれる小さな一部の画像領域と同じパターンが画像全体の中に存在するかどうかを調べる方法 画像内にある対象物体の位置検出 物体数のカウント 物体移動の検出などに使われる テンプレートマッチングの計算

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

広報さがみはら第1242号

広報さがみはら第1242号 LINE UP 3 1 5 6 1 NO.1242 S A G A M I H A R A 1 1 1 16 16 1 6 1 6 1 6 1 1 1 1 1 11 1 1 1 1 1 1 6 1 6 1 1 1 1 1 1 1 1 11 1 1 16 1 1 1 6 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 6 1 16 1 16 1 6 1 1 1 1 1 1

More information

情報処理学会研究報告 IPSJ SIG Technical Report 被写界深度に存在する主体物体の自動検出法の提案 萩原健太, 小枝正直 1 本研究では被写界深度に存在する物体の自動検出法の提案を提案する. 本手法を用いることにより,1 枚の静止画像中にある主体物体と非主体物体の分別が可能にな

情報処理学会研究報告 IPSJ SIG Technical Report 被写界深度に存在する主体物体の自動検出法の提案 萩原健太, 小枝正直 1 本研究では被写界深度に存在する物体の自動検出法の提案を提案する. 本手法を用いることにより,1 枚の静止画像中にある主体物体と非主体物体の分別が可能にな 被写界深度に存在する主体物体の自動検出法の提案 萩原健太, 小枝正直 1 本研究では被写界深度に存在する物体の自動検出法の提案を提案する. 本手法を用いることにより,1 枚の静止画像中にある主体物体と非主体物体の分別が可能になる. 具体的には, まず 2 種類のエッジ抽出を組み合わせることで被写界深度に含まれる主体物体の特徴点群を検出する. その後, 得られた特徴点群を包囲する領域を 2 種類の手法により動的に求める.

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Camera inspection -IF -IF Jig SerDes FPDLinkⅢ GMSL GVIF V-by-One HDMI solution 1080P-60fps 720P-60fps Computer-Graphics 1 株式会社ネットビジョン 目 次 ネットビジョン会社案内 SV シリーズ応用分野 SV シリーズポートフォリオ SVM シリーズ SVO シリーズ SVI シリーズ

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 空間フィルタリング (spatal lterng) 入力画像の対応する画素値だけではなく その周囲 ( 近傍領域 ) の画素も含めた領域内の画素値を用いて 出力画像の対応する画素値を計算する処理 入力画像出力画像入力画像出力画像 画素ごとの濃淡変換 ( 階調処理 ) 領域に基づく濃淡変換 ( 空間フィルタリング ) 空間フィルタ (spatal lter) 線形フィルタ (lnear lter) w

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Camera inspection -IF -IF Jig SerDes FPDLinkⅢ GMSL GVIF2 HDMI solution 1080P-60fps 720P-60fps Computer-Graphics 1 株式会社ネットビジョン 目 次 ネットビジョン会社案内 SV シリーズ応用分野 SV シリーズポートフォリオ SVM シリーズ SVO シリーズ SVI シリーズ デユアル

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

tottori2013-print.key

tottori2013-print.key 1 / 152 3 / 152 2 / 152 4 / 152 5 / 152 7 / 152 6 / 152 8 / 152 9 / 152 11 / 152 Red: [R,G,B] = [255,0,0] Yellow [R,G,B] = [255, 255, 0] Magenta [R,G,B] = [255, 0, 255] W [R,G,B] = [ Green: [R,G,B] = [0,

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討 第 回電気学会東京支部栃木 群馬支所合同研究発表会 ETT--7 遅延デジタルフィルタの分散型積和演算回路を用いた FPGA 実装の検討 易茹 * 立岩武徳 ( 群馬大学 ) 浅見幸司 ( 株式会社アドバンテスト ) 小林春夫 ( 群馬大学 ) 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科

卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科 卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 2260050004-3 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科 内容概要本論文では LSI 設計の主流となっているハードウェア記述言語の Verilog-HDL を用いて CRC32 回路を設計することで Vreilog-HDL

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

第122号.indd

第122号.indd -1- -2- -3- 0852-36-5150 0852-36-5163-4- -5- -6- -7- 1st 1-1 1-2 1-3 1-4 1-5 -8- 2nd M2 E2 D2 J2 C2-9- 3rd M3 E3 D3 J3 C3-10- 4th M4 E4 D4 J4 C4-11- -12- M5 E5 J5 D5 C5 5th -13- -14- NEWS NEWS -15- NEWS

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

0 21 カラー反射率 slope aspect 図 2.9: 復元結果例 2.4 画像生成技術としての計算フォトグラフィ 3 次元情報を復元することにより, 画像生成 ( レンダリング ) に応用することが可能である. 近年, コンピュータにより, カメラで直接得られない画像を生成する技術分野が生

0 21 カラー反射率 slope aspect 図 2.9: 復元結果例 2.4 画像生成技術としての計算フォトグラフィ 3 次元情報を復元することにより, 画像生成 ( レンダリング ) に応用することが可能である. 近年, コンピュータにより, カメラで直接得られない画像を生成する技術分野が生 0 21 カラー反射率 slope aspect 図 2.9: 復元結果例 2.4 画像生成技術としての計算フォトグラフィ 3 次元情報を復元することにより, 画像生成 ( レンダリング ) に応用することが可能である. 近年, コンピュータにより, カメラで直接得られない画像を生成する技術分野が生まれ, コンピューテーショナルフォトグラフィ ( 計算フォトグラフィ ) と呼ばれている.3 次元画像認識技術の計算フォトグラフィへの応用として,

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

スライド 1

スライド 1 FPGA/HDLを活用したソフトウェア並列処理の構築 goyoki @ 並列プログラミングカンファレンス 自己紹介 goyoki(hatena/twitter) 千里霧中 http://d.hatena.ne.jp/goyoki/ 組込みエンジニア Doxygen 日本語メンテナ 主にテスト関連コミュニティで情報発信 yomite.swtest xunit Test Patterns 読書会等 概要

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

Microsoft PowerPoint - DigitalMedia2_12.pptx

Microsoft PowerPoint - DigitalMedia2_12.pptx デジタルメディア処理 2 2017( 前期 ) デジタルメディア処理 2 担当 : 井尻敬 4/13 デジタル画像とは : イントロダクション 4/20 フィルタ処理 1 : 画素ごとの濃淡変換 線形フィルタ, 線形フィルタ 4/27 フィルタ処理 2 : フーリエ変換, ローパスフィルタ, ハイパスフィルタ 5/11 画像の幾何変換 1 : アファイン変換 5/18 画像の幾何変換 2 : 画像の補間,

More information

IPSJ SIG Technical Report Vol.2013-CVIM-188 No /9/2 1,a) D. Marr D. Marr 1. (feature-based) (area-based) (Dense Stereo Vision) van der Ma

IPSJ SIG Technical Report Vol.2013-CVIM-188 No /9/2 1,a) D. Marr D. Marr 1. (feature-based) (area-based) (Dense Stereo Vision) van der Ma ,a) D. Marr D. Marr. (feature-based) (area-based) (Dense Stereo Vision) van der Mark [] (Intelligent Vehicle: IV) SAD(Sum of Absolute Difference) Intel x86 CPU SSE2(Streaming SIMD Extensions 2) CPU IV

More information

Microsoft Word - 卒論レジュメ_最終_.doc

Microsoft Word - 卒論レジュメ_最終_.doc 指紋認証のマニューシャ抽出について 澤見研究室 I02I036 兼信雄一 I02I093 柳楽和信 I02I142 吉田寛孝 1. はじめに近年, キャッシュカードや暗証番号が盗用され, 現金が引き出されるような事件が相次いでいる. これらの対向策として人間の体の一部を認証の鍵として利用する生体認証に注目が集まっている. そこで我々は, 生体認証で最も歴史がある指紋認証技術に着目した. 指紋認証方式は,2

More information

Coding theorems for correlated sources with cooperative information

Coding theorems for correlated sources with cooperative information MCMC-based particle filter を用いた人間の映像注視行動の実時間推定 2009 年 7 月 21 日 宮里洸司 (2) 木村昭悟 (1) 高木茂 (2) 大和淳司 (1) 柏野邦夫 (1) (1) 日本電信電話 ( 株 )NTT コミュニケーション科学基礎研究所メディア情報研究部メディア認識研究グループ (2) 国立沖縄工業高等専門学校情報通信システム工学科 背景 ヒトはどのようにして

More information

2008 年度下期未踏 IT 人材発掘 育成事業採択案件評価書 1. 担当 PM 田中二郎 PM ( 筑波大学大学院システム情報工学研究科教授 ) 2. 採択者氏名チーフクリエータ : 矢口裕明 ( 東京大学大学院情報理工学系研究科創造情報学専攻博士課程三年次学生 ) コクリエータ : なし 3.

2008 年度下期未踏 IT 人材発掘 育成事業採択案件評価書 1. 担当 PM 田中二郎 PM ( 筑波大学大学院システム情報工学研究科教授 ) 2. 採択者氏名チーフクリエータ : 矢口裕明 ( 東京大学大学院情報理工学系研究科創造情報学専攻博士課程三年次学生 ) コクリエータ : なし 3. 2008 年度下期未踏 IT 人材発掘 育成事業採択案件評価書 1. 担当 PM 田中二郎 PM ( 筑波大学大学院システム情報工学研究科教授 ) 2. 採択者氏名チーフクリエータ : 矢口裕明 ( 東京大学大学院情報理工学系研究科創造情報学専攻博士課程三年次学生 ) コクリエータ : なし 3. プロジェクト管理組織 株式会社オープンテクノロジーズ 4. 委託金支払額 3,000,000 円 5.

More information

Analog signal 標本化 量子化 Digital signal 定理に基づく sampling 間隔の決定 f Δx max = 1 2 Δx 1 2 f max 標本化間隔 等号が成り立つとき周波数 従わないとエリアシングエラー (aliasing error) が生じる ( 折り返し雑

Analog signal 標本化 量子化 Digital signal 定理に基づく sampling 間隔の決定 f Δx max = 1 2 Δx 1 2 f max 標本化間隔 等号が成り立つとき周波数 従わないとエリアシングエラー (aliasing error) が生じる ( 折り返し雑 画像処理工学 Computer Image Processing pixel dpi picture cell picture element 画像の最小単位 ppi pixel per inch 1 インチ当たりの画素数. 画像の解像度の単位. dot per inch 1 インチ当たりの点の数. 主にプリンタやスキャナなどの解像度の単位として使われる. bps bit per second 1

More information

FPGAによる24時間時計回路

FPGAによる24時間時計回路 の設計 通信処理ネットワーク研究室 10ec062 志村貴大 1. まえがき今回 24 時間時計回路の設計を行った理由は FPGA を用いた論理回路設計の基礎を学ぶにあたり ハード及びソフト双方の基本技術を一度に習得できる題材であると推測したためである 24 時間時計を構成するモジュールの設計を終えた今 その推測は正しかったものと自負している 本レポートは 復習を兼ねた制作記録としてだけではなく 自分と同じ回路設計初心者が学習の参考にできるものにしたいと考えている

More information

SICE東北支部研究集会資料(2013年)

SICE東北支部研究集会資料(2013年) 280 (2013.5.29) 280-4 SURF A Study of SURF Algorithm using Edge Image and Color Information Yoshihiro Sasaki, Syunichi Konno, Yoshitaka Tsunekawa * *Iwate University : SURF (Speeded Up Robust Features)

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ディジタル画像処理 濃度変換 ; 階調処理 2 値化処理 ; しきい値処理 フィルタ処理 画像間演算 データ圧縮 三次元表示 頻度 画像全体で同じ濃度値を持つ画素数を求め, グラフ化したものを濃度ヒストグラムあるいは単にヒストグラム (histogram) という. 横軸は濃淡値 ( 画素値 ), 縦軸にその出現頻度 ( 画素数 ) をとる. Histogram 出現頻度 30 20 0 ヒストグラムの利用法

More information

Microsoft PowerPoint - CV04.ppt [互換モード]

Microsoft PowerPoint - CV04.ppt [互換モード] コンピュータビジョン特論 Advanced Computer Vision 第 4 回 画像特徴 ( 点 直線 領域 ) の検出と識別 -1 画質の改善エッジの検出 濃度ヒストグラム (Histogram) 画素数 8 6 4 2 濃度ヒストグラム (Histogram) は 画像の濃度値を横軸に その濃度値を持つ画素数を縦軸に取った ヒストグラム 19 38 57 76 95 114 133 152

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

SICE東北支部研究集会資料(2009年)

SICE東北支部研究集会資料(2009年) 計測自動制御学会東北支部第 5 回研究集会 (9.7.5) 資料番号 5- FPGA を用いたステッピングモータの制御に関する検討 Control of a Stepping Motor using FPGA 萩原正基 *, 秋山宜万 *, 松尾健史 *, 三浦武 *, 谷口敏幸 * Masaki Hagiwara*, oshikazu Akiyama*, Kenshi Matsuo*, Takeshi

More information

『赤すぐ』『妊すぐ』<出産・育児トレンド調査2003>

『赤すぐ』『妊すぐ』<出産・育児トレンド調査2003> 79.9 1.6 UP 86.6% 7.0 UP 61.3% 12.7UP 18-24 3 66.6 3.0 UP 38.7 0.7 UP 14.8 1.9 UP 13.3 0.3UP 4 1 024 1.23 0.01down Topics 5 79.9 1.6UP 7.0 UP 12.7U 3.5 0.4 UP 3.4 0.4 UP 6 73.1% 5.7 UP 75.0% 71.2% 7 53.9%

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

適応フィルタのSIMD最適化

適応フィルタのSIMD最適化 茂木和洋 @ まるも製作所 今回は省略 初めての方は #1 の資料を参照 適応フィルタとは 適応フィルタの問題点 ( 速度面で ) SIMD 比較命令でマスク処理 ベンチマーク 固定のフィルタではなく 入力値によって処理を変更し 最適な結果を求める 例 基準値との差異を閾値と比較して 参照画素として使うか使わないかを切り替える 最小自乗法でフィッティングしてフィルタ係数自体を動的に作成する 他いろいろ

More information

Wavelet HSI / [1] JPEG2000 9/7Wavelet [2][6] 2:1 9/7Wavelet Wavelet 80 Wavelet i

Wavelet HSI / [1] JPEG2000 9/7Wavelet [2][6] 2:1 9/7Wavelet Wavelet 80 Wavelet i 17 Wavelet Image Enhancement by Wavelet Transform 1060326 2006 3 10 Wavelet HSI / [1] JPEG2000 9/7Wavelet [2][6] 2:1 9/7Wavelet Wavelet 80 Wavelet i Abstract Image Enhancement by Wavelet Transform Yuichi

More information

Microsoft Word - HW06K doc

Microsoft Word - HW06K doc 完了した CP:1~19( 合計 19 個 ) 未達成の CP:20 [ 要旨 目的 ] CPU の製作を行う CPU の製作を通じて ハードウェア設計の流れを理解する CPU の構造について 理解を深める CPU 製作第 3 回の実験では 最終的なCPUの完成を目指す [ 原理 理論 ] まずは CPU の構造設計から行う 全体の構成は次のようになる 下の図では モニター回路は含まれない chattering

More information

スライド タイトルなし

スライド タイトルなし 次元フーリエ変換 講義内容 空間周波数の概念 次元フーリエ変換代表的な 次元フーリエ変換対 次元離散フーリエ変換 フーリエ変換と逆変換 F.T. j F } ep{ 連続系離散系 } / ep{ N N N j N F F I. F.T. F ただし ここでは絶対値をとって画像化 } / ep{ N N N j F N 順変換逆変換 3 次元フーリエ変換の具体的なイメージ } / ep{ N N N

More information

スライド 1

スライド 1 5.5.2 画像の間引き 5.1 線形変換 5.2 アフィン変換 5.3 同次座標 5.4 平面射影変換 5.5 再標本化 1. 画素数の減少による表現能力の低下 画像の縮小 変形を行う際 結果画像の 画素数 < 入力画像の 画素数 ( 画素の密度 ) ( 画素の密度 ) になることがある この場合 結果画像の表現力 < 入力画像の表現力 ( 情報量 ) ( 情報量 ) 結果的に 情報の損失が生じる!

More information

Microsoft Word - Quadro Mシリーズ_テクニカルガイド_R1-2.doc

Microsoft Word - Quadro Mシリーズ_テクニカルガイド_R1-2.doc (2016/01/28) グラフィックス アクセラレータ Quadro M シリーズ - 1 - 1. 機能仕様 Quadro M5000/M4000 型名 N8005-FS61/122 N8005- FS60/121 製品名 Quadro M5000 Quadro M4000 GPU NVIDIA Quadro M5000 NVIDIA Quadro M4000 メモリ 8GB 256bit GDDR5

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

Microsoft PowerPoint SIGAL.ppt

Microsoft PowerPoint SIGAL.ppt アメリカン アジアンオプションの 価格の近似に対する 計算幾何的アプローチ 渋谷彰信, 塩浦昭義, 徳山豪 ( 東北大学大学院情報科学研究科 ) 発表の概要 アメリカン アジアンオプション金融派生商品の一つ価格付け ( 価格の計算 ) は重要な問題 二項モデルにおける価格付けは計算困難な問題 目的 : 近似精度保証をもつ近似アルゴリズムの提案 アイディア : 区分線形関数を計算幾何手法により近似 問題の説明

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

Microsoft PowerPoint - presen3x4an.pptx

Microsoft PowerPoint - presen3x4an.pptx パイプライン処理のための 演算仕様記述言語 mhdl と その処理系 シグナル プロセス ロジック株式会社瀬尾雄三 mhdl 開発の背景 CodeSqueezer ボタンを押すだけで数値演算論理を形成 x a b c パイプライン処理演算論理 (black box) y=a*x^2+b*x+c x+c 演算仕様を言語 mhdl で記述 reg ツールの想定用途と要求 FPGA を用いた小ロット製品の設計

More information

車載カメラ映像の視認性向上処理技術

車載カメラ映像の視認性向上処理技術 Visibility Enhancement Technology for In-Vehicle Cameras あらまし 近年, 車両後方や側方の死角エリアなど周囲の撮影映像を, 車載ディスプレイに表示することによって運転者の視覚支援を行う車両周辺監視カメラシステムの普及が進んでいる しかし, 夜間や逆光などの悪条件下では, カメラ映像の階調情報が部明部に二極化し, 視認性が大幅に欠如するという問題が発生する

More information

Microsoft Word - wNew_IMAGINE.doc

Microsoft Word - wNew_IMAGINE.doc の新機能 : GLT ビューアにダイナミックレンジ調整機能を追加 イメージ拡張ツールを追加 (Photo Enhancement Tool) コントラスト調整ツールを追加 (General Contrast Tool) 畳み込みフィルタツールを追加 (Convolution filtering tool) 解像度の統合アルゴリズムを追加 (Resolution Merge) Map Composer

More information

Coding theorems for correlated sources with cooperative information

Coding theorems for correlated sources with cooperative information グラフコストの逐次更新を用いた映像顕著領域の自動抽出 2009 年 5 月 28 日 福地賢宮里洸司 (2) 木村昭悟 (1) 高木茂 (2) 大和淳司 (1) (1) 日本電信電話 ( 株 )NTT) コミュニケーション科学基礎研究所メディア情報研究部メディア認識研究グループ (2) 国立沖縄工業高等専門学校情報通信システム工学科 背景 ヒトはどのようにして もの を認識する能力を獲得するのか?

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

図 5 一次微分 図 6 コントラスト変化に伴う微分プロファイルの変化 価し, 合否判定を行う. 3. エッジ検出の原理ここでは, 一般的なエッジ検出の処理内容と, それぞれの処理におけるパラメータについて述べる. 3.1 濃度投影検出線と直交する方向に各画素をスキャンし, その濃度平均値を検出線上

図 5 一次微分 図 6 コントラスト変化に伴う微分プロファイルの変化 価し, 合否判定を行う. 3. エッジ検出の原理ここでは, 一般的なエッジ検出の処理内容と, それぞれの処理におけるパラメータについて述べる. 3.1 濃度投影検出線と直交する方向に各画素をスキャンし, その濃度平均値を検出線上 The Principles of Edge Detection, and Its Application to Image Measurement/ Junichi SUGANO ヴィスコ テクノロジーズ株式会社開発本部研究部菅野純一 1. はじめに画像処理におけるエッジとは, 対象物と背景の境界点を指しており, この境界点が連なることで対象物の輪郭を形成する. 対象物の輪郭を拡大してみると, レンズボケにより明から暗または暗から明へ濃度値が連続的に変化していることがわかる.

More information

画像工学入門

画像工学入門 セグメンテーション 講義内容 閾値法,k-mean 法 領域拡張法 SNAK 法 P タイル法 モード法 P タイル法 画像内で対象物の占める面積 (P パーセント ) があらかじめわかっているとき, 濃度ヒストグラムを作成し, 濃度値の累積分布が全体の P パーセントとなる濃度値を見つけ, この値を閾値とする. モード法 画像の輝度ヒストグラムを調べ その分布のモード ( 頻値輝度 ) 間の谷をしきい値とする

More information

CLEFIA_ISEC発表

CLEFIA_ISEC発表 128 ビットブロック暗号 CLEFIA 白井太三 渋谷香士 秋下徹 盛合志帆 岩田哲 ソニー株式会社 名古屋大学 目次 背景 アルゴリズム仕様 設計方針 安全性評価 実装性能評価 まとめ 2 背景 AES プロジェクト開始 (1997~) から 10 年 AES プロジェクト 攻撃法の進化 代数攻撃 関連鍵攻撃 新しい攻撃法への対策 暗号設計法の進化 IC カード, RFID などのアプリケーション拡大

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 計算機基礎第 7 回 ノイマン型計算機 (2) 1 スタックの練習問題 逆ポーランド表記 ( 後置記法 : postfix notation) に変換してみよ 1+2*3+4 1 2 3 * + 4 + (1+2)*3+4 1 2 + 3 * 4 + 1+2*(3+4) 下の 3 番目と同じ 中置記法 (infix notation) に変換してみよ 1 2 + 3 * 4 + (1 + 2) *

More information

Microsoft PowerPoint - dm1_3.pptx

Microsoft PowerPoint - dm1_3.pptx スケジュール 9/6 イントロダクション : デジタル画像とは, 量 化と標本化,Dynamic Range /3 イントロダクション : デジタルカメラ, 間の視覚, 表 系 / フィルタ処理 : トーンカーブ, 線形フィルタ デジタルメディア処理 担当 : 井尻敬 /7 フィルタ処理 : 線形フィルタ, ハーフトーニング / フィルタ処理 3 : 離散フーリエ変換と周波数フィルタリング /7 前半のまとめと中間試験

More information

FIT2016( 第 15 回情報科学技術フォーラム ) RI 出力の畳み込みニューラルネットワークを用いた超解像 Super-Resolution with Four Output Convolutional Neural Networks 加藤裕 大谷真也 黒木修隆 廣瀬哲也 沼昌宏

FIT2016( 第 15 回情報科学技術フォーラム ) RI 出力の畳み込みニューラルネットワークを用いた超解像 Super-Resolution with Four Output Convolutional Neural Networks 加藤裕 大谷真也 黒木修隆 廣瀬哲也 沼昌宏 RI-005 4 出力の畳み込みニューラルネットワークを用いた超解像 Super-Resolution with Four Output Convolutional Neural Networks 加藤裕 大谷真也 黒木修隆 廣瀬哲也 沼昌宏 Yu Kato Shinya Ohtani Nobutaka Kuroki Tetsuya Hirose Masahiro Numa. はじめに 近年, ディジタルカメラ,

More information

Microsoft PowerPoint _QSG AIR PRO WiFi_iphone.ppt

Microsoft PowerPoint _QSG AIR PRO WiFi_iphone.ppt P - [ ion アプリ ] iphone と WiFi 接続 STEP WiFi PODZ の電源をいれてください ランプが青く光ります ウォームアップに 5 秒ほどかかり 通信が可能になると点滅し始めます バッテリー残量が少なくなると WiFi 接続が不安定になることがあります その場合には フル充電後に再度お試しください! 注意! WiFi PODZ のファームウェアが最新でない場合 ion

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Iniファイル作成ガイド

Iniファイル作成ガイド サイズ設定について - 1 - 目次 第 1 章 はじめに... 3 第 2 章 取り込みサイズの設定... 4 2.1 設定例... 4 2.2 APX-3312 を使用して 2448x2050 で撮像する場合... 5 2.3 APX-3302 を使用して 2448x2050 で撮像する場合... 6 2.4 APX-3312 を使用して x512 で切り出して撮像する場合... 7 2.5 APX-3302

More information

高速度スイッチングダイオード

高速度スイッチングダイオード は簡単な構成で FM ステレオ送信を実現できる IC です ステレオコンポジット信号を作るステレオ変調器及び FM 信号を空中へ輻射するための FM トランスミッタで構成されています ステレオ変調器は 3kHz 発振器より MAIN SUB 及びパイロット信号からなるコンポジット信号を発生します FM トランスミッタは FM 帯のキャリアを発振させコンポジット信号によって FM 変調をかけ FM 波を空中に輻射します

More information

ポニソフト顔認識システムご案内 Face Recognition System (Windows Base ) PONI- 顔認識システムソフトウェアは, 顔の特徴を高速で検出 分析 認識し その特徴を照合の結果 登録された名前の割出し 履歴記録ファィルとの連動 あるいは 男 女の性別と年齢の分類

ポニソフト顔認識システムご案内 Face Recognition System (Windows Base ) PONI- 顔認識システムソフトウェアは, 顔の特徴を高速で検出 分析 認識し その特徴を照合の結果 登録された名前の割出し 履歴記録ファィルとの連動 あるいは 男 女の性別と年齢の分類 ポニソフト顔認識システムご案内 Face Recognition System (Windows Base ) PONI- 顔認識システムソフトウェアは, 顔の特徴を高速で検出 分析 認識し その特徴を照合の結果 登録された名前の割出し 履歴記録ファィルとの連動 あるいは 男 女の性別と年齢の分類 滞在時間の計測 入場者数の集計などの記録のデータ化によりマーケッティング分析や顧客管理 警備保安 入退出管理などのデータベースとして幅広い分野で活用できるアプリケ

More information

Microsoft Word - SKY操作マニュアル.doc

Microsoft Word - SKY操作マニュアル.doc 2. 使用方法 SKY の使用方法について説明する前に 画面構成について説明する SKY は図 c-2 に示すようにシングルインターフェースドキュメント (SDI) で作成しており 図 3 に示すメニュバーに機能拡張処理を実行可能な項目を作成している また メニューの構成を図 4 に示すとおり 1ファイル 2イメージ 3 設定 4フィルタの4つの大きな項目に分かれる 1 図 2 SKY のメイン画面

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

Microsoft PowerPoint - NxLec-2010-11-01.ppt

Microsoft PowerPoint - NxLec-2010-11-01.ppt 2010 年 後 学 期 レポート 問 題 計 算 機 アーキテクチャ 第 二 (O) 4. シングルサイクルプロセッサの 実 装 とパイプライン 処 理 大 学 院 情 報 理 工 学 研 究 科 計 算 工 学 専 攻 吉 瀬 謙 二 kise _at_ cs.titech.ac.jp S321 講 義 室 月 曜 日 5,6 時 限 13:20-14:50 1 1. 1から100までの 加 算

More information

新技術説明会 様式例

新技術説明会 様式例 1 ロボットへの FPGA 導入を 容易化する コンポーネント技術 宇都宮大学大学院工学研究科情報システム科学専攻助教大川猛 2 従来技術とその問題点 FPGA(Field Programmable Gate Array) は 任意のディジタル論理回路をプログラム可能な LSI ソフトウェアでは時間がかかる画像認識処理等を ハードウェア化して 高速化 低消費電力化可能 問題点 FPGA 上の回路設計が難しい

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information