Structure Modeling of Hardware Structure XMI (Class) UML2 Fig. 1 Requirements Analysis System Analysis The UML modeling with the manual entry Action U

Size: px
Start display at page:

Download "Structure Modeling of Hardware Structure XMI (Class) UML2 Fig. 1 Requirements Analysis System Analysis The UML modeling with the manual entry Action U"

Transcription

1 UML UML HDL The Verfication and Evalution to the Hard-ware Design Method using the UML Diagrams Daiki Kanou, 1 Ryota Yamazaki 1 and Naohiko Shimizu 2 In this paper, we will practice verification using our design method. This method generates logic synthesizable HDL from UML diagrams. We illustrate Hard-ware structure using UML Class, Activity, and State-machine diagrams. In verification, we practice same design using this design method and hand design method. And we evaluate verification result. 1. LSI 1 Tokai University 2 /IP ARCH, Inc. Tokai University / IP ARCH, Inc. C SystemC (RTL) (HDL) 1) LSI System System Unified Modeling Language(UML) 2) UML 3)4) UML 5) UML UML2 6) UML2 UML ACT2 COMP 7) ACT2 COMP UML2 ACT2 UML STA2 8) STA2 COMP STA2 UML 2 UML UML 2.1 UML 1 UML UML XML Metadata Interchange(XMI) 9) UML XMI UML UML 1 UML 1 c 2011 Information Processing Society of Japan

2 Structure Modeling of Hardware Structure XMI (Class) UML2 Fig. 1 Requirements Analysis System Analysis The UML modeling with the manual entry Action UML Modeling Tool Behavior Modeling of Hardware XMI (Activity) ACT2 COMP State XMI (State Machine) STA2 1 The flow of the our design method. UML2 XMI 1 UML ACT2 XMI STA2 XMI UML COMP Fig. 2 TOP_mod + T_in : input[1] + T_out : output[1] - Proc0() SUB_mod + S_in : input[1] + S_out : output[1] - S_wire : wire [1] + S_fanc() ( a )UML Class diagram [1] +sub_mod0 Synthesis of byuml2 declare TOP_mod{ input T_in[1]; output T_out[1]; declare SUB_mod{ input S_in[1]; output S_out[1]; module TOP_mod{ SUB_mod sub_mod0; proc_name Proc0; proc Proc0{ module SUB_mod{ wire S_wire[1]; function S_fanc{ ( b ) 2 The flow to generate from the class diagram (a) UML. UML UML2 2(b) 6). TOP mod 1bit T in T out Proc0 sub mod0. Proc0 - proc. SUB mod 1bit S in S out S wire S func S func + func (a) UML. UML ACT2 3(b) 7). seq seq clock 2 c 2011 Information Processing Society of Japan

3 Fig. 4 Fig. 3 S_wire := ^S_in S_out = S_wire ( a )UML activity diagram [EVENT2] [EVENT3] Synthesis of by ACT2 seq{ { S_wire := ^S_in; S_out = S_wire; ( b ) 3 The generate from the activity diagram. State1 do/activity1 State2 do/activity2 [EVENT1] Synthesis of by STA2 ( a )UML State machine diagram state_name State1,State2; state State1{ // Activity1 if(event1)goto State2; state State2{ // Activity2 if(event2)goto State1; if(event3)goto finish; ( b ) 4 The generate from the state machine diagram. par clock (a) UML. UML STA2 4(b) 8) proc state declare TOP_mod{ input T_in[1]; output T_out[1]; declare SUB_mod{ input S_in[1]; output S_out[1]; module TOP_mod{ SUB_mod sub_mod0; proc_name Proc0; proc Proc0{ module SUB_mod{ wire S_wire[1]; function S_func{ by class diagram Fig. 5 Put behavior by COMP state_name State1,State2; state State1{ // Activity1 if(event1)goto State2; state State2{ // Activity2 if(event2)goto State1; if(event3)goto finish; by state machine diagram Put behavior by COMP (Action1) (Action2) seq{ { S_wire := ^S_in; S_out = S_wire; by activity diagram 5 The generate logic synthesizable from the each. EVENT if goto. 2.5 UML 5 COMP 1 COMP 1 3 c 2011 Information Processing Society of Japan

4 HDL Design Requirements Analysis Debug Completion (on the FPGA) System Analysis UML Design Debug Completion (on the FPGA) 6 Fig. 6 The flow of verification FPGA 40pin connector Serial port interface Synchronous timing signals and RGB data Serial port interface LCD touch panel AD converter 7 LTM Fig. 7 The overview of the LTM. Analog coordinates signals HDL ( ) UML ( UML ) FPGA 3.2 LTM LTM LTM terasic LCD LTM RGB 8bit HD VD2 LTM_main + ADC_PENIRQ_n : input[1] + SCEN : output[1] + ADC_DCLK : output[1] + NCLK : output[1] + GREST : output[1 + HD : output[1] + VD : output[1] + DEN : output[1] + R : output[8] + G : output[8] + B : output[8] + func() MicroWire [1] +MW_CTRL + SCEN : output[1] + ADC_DCLK : output[1] - r_scen : reg[1] - r_clk_cnt : reg[7] - r_dclk_on : reg[1] + wire_sig_ctrl() + set_signal() + out_signal() + ADC_DCLK_CTRL.CLKMHz5_enable() ADC_DCLK_ctrl + CLKMHz5_ctrl : output[1] - r_clk_cnt : reg[4] - r_adc_dclk : reg[1] # CLKMHz5_enable() + CLKMHz5_ctrl() [1] +IO_CTRL [1] +ADC_DCLK_CTRL Fig. 8 IO_ctrl + ADC_PENIRQ_n : input[1] + R : output[8] + G : output[8] + B : output[8] - r_red : reg[8] - r_green : reg[8] - r_blue : reg[8] - r_rgb_ctrl[2] + display_ctrl(adc_penirq_n) + set_signal() + out_signal() + PAD_CTRL.display_touch() LCD_sync + NCLK : output[1] + HD : output[1] + VD : output[1] + DEN : output[1] - r_nclk : reg[1] - r_hd : reg[1] - r_vd : reg[1] - r_hden : reg[1] - r_vden : reg[1] - r_den : reg[1] - r_clk_cnt : reg[11] - r_lin_cnt[10] [1] + PAD_CTRL + sync_sig_ctrl() + set_signal() + out_signal() + CLK_CTRL.CLKMHz25_enable() PAD_ctrl + i_signal : input[1] - r_clk_cnt : reg[28] - r_sig_buf : reg[1] # display_touch() + state_touch( i_signal ) [1] +LCD_SYNC 8 LTM The class diagram of the LTM controller. [1] + CLK_CTRL CLK_ctrl + NCLK : output[1] - r_nclk : reg[1] - r_nclk_buf : reg[1] # CLKMHz25_enable() + CLKMHz25_ctrl() LTM 7 LTM LCD AD 40 LCD FPGA RGB AD 40 FPGA LTM LTM 4 c 2011 Information Processing Society of Japan

5 (Class) auto generate auto generate logic synthesis (Activity) COMP description nsl2vl Verilog HDL description ALTERA tool FPGA (State-machine) Fig. 9 9 FPGA (UML ) The flow of implementation on the FPGA(UML design team) UML UML UML 8 UML 8 UML LTM LTM main IO ctrl PAD ctrl LCD sync CLK ctrl MicroWave ADC DCLK ctrl 6 IO ctrl PAD ctrl LCD LCD sync CLK ctrl LCD MicroWire ADC DCLK ctrl 9 UML LTM FPGA LTM UML UML nsl2vl verilog HDL verilog HDL ALTERA FPGA FPGA LTM LTM Main CHANGE Hz LCDtiming LCD DISPLAY CHANGE Hz LTM LCDtiming LCD 10 LTM(UML ) Fig. 10 The operating LTM(UML design team). CHANGE_Hz NCLK ADC_ PENIRQ_n LCDtiming HD VD DEN Main LCD_DISPLAY DEN ADC_ PENIRQ_n R G B SCEN NCLK HD VD DEN 12 LTM ( ) Fig. 12 The block diagram of LTM controller(hand design team). R G B 11 LTM(UML ) Fig. 11 The LTM after touch detection (UML design team). (Hand Design) nsl2vl Verilog HDL description ALTERA tool FPGA auto generate logic synthesis 13 FPGA ( ) Fig. 13 The flow of implementation on the FPGA(Hand design team). 5 c 2011 Information Processing Society of Japan

6 UML UML HDL UML UML UML UML 14 LTM( ) Fig. 14 The operating LTM(hand design team). 15 LTM( ) Fig. 15 The LTM of touch detection (hand design team). LCD DISPLAY LCD 13 LTM FPGA nsl2vl verilog HDL Verilog HDL ALTERA FPGA FPGA LTM UML LTM LTM UML 3 9 UML UML UML UML UML 1 11 UML LTM UML LTM 2 AL- 1 Table 1 The verification data. The item The UML design team The hand design team unit The requirements Analysis 10 hour The system Analysis 5 hour The design time hour The debug time 3 9 hour The number of error 1 11 piece The total time hour 6 c 2011 Information Processing Society of Japan

7 TERA CycloneII EP2C70F896C6 UML UML LTM MHz LTM MHz UML 4.2 LTM UML UML UML UML UML UML Table 2 2 LTM The logic synthesis result of The LTM. The item The UML design team The hand design team unit The logic cell piece The power consumption [mw] The critical path [ns] The frequency [MHz] Time(hour) :30 27: :30 RAT SAT DsT DbT Fig. 16 : The UML Model diagram Design : The Hand Design RAT: Requirements Analysis Time SAT: System Analysis Time DsT: Design Time DbT: Debug Time Manufacturing Process 16 The graph of design time of the each method. UML UML UML UML UML UML 5. UML HDL LCD LTM c 2011 Information Processing Society of Japan

8 UML 1) ITRS Teams: Design, INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 EDITION, ITRS (online), available from (accessed ). 2) Object Management Group (OMG): Unified Modeling Language (UML), Object Management Group (online), available from (accessed ). 3) E. Riccobene, P. Scandurra, A. Rosti, S. Bocchio.: A SoC Design Methodology Involving a UML 2.0 Profile for SystemC, the conference on Design, Automation and Test in Europe - Volume 2, IEEE Computer Society, pp , (2005). 4) Moreira, T.G., Wehrmeister, M.A., Pereira, C.E., Petin J.-F. and Levrat, E.: Automatic code generation for embedded systems: From UML specifications to VHDL code, th IEEE International Conference on, Industrial Informatics (INDIN), pp , (2010). 5) Overtone Corporation: Overtone, Overtone Corporation (online), available from (accessed ). 6) Shimizu, N., Ikura, M., Wiriya, W. and Chivapreecha, S.: A New Logic Circuit Design Methodology with UML, The 24th International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC 2009), pp.62 65, (2009). 7) Kamikage T., Yamazaki R., Ozawa, S. and Shimziu, N.: A UML STRUCTURAL AND BEHAVIORAL SYNTHESIZER FOR FPGA DESIGN, IPSJ Symposium Series, Vol.-2011, No.-5, pp.9 14 (2011). 8) Kano, D., Yamazaki, R. and Shimizu, N.: Development Modeling Compiler and Operation Test for the Hardware Design Generate HDL from UML State Machine Diagram, IEICE Technical Report, Vol.-111, No.-218, pp (2011). 9) Object Management Group (OMG): XML Metadata Interchange, Object Management Group (online), available from (accessed ). 8 c 2011 Information Processing Society of Japan

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF a m

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF   a m Vol.55 No.1 2 15 (Jan. 2014) 1,a) 2,3,b) 4,3,c) 3,d) 2013 3 18, 2013 10 9 saccess 1 1 saccess saccess Design and Implementation of an Online Tool for Database Education Hiroyuki Nagataki 1,a) Yoshiaki

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

MDD PBL ET 9) 2) ET ET 2.2 2), 1 2 5) MDD PBL PBL MDD MDD MDD 10) MDD Executable UML 11) Executable UML MDD Executable UML

MDD PBL ET 9) 2) ET ET 2.2 2), 1 2 5) MDD PBL PBL MDD MDD MDD 10) MDD Executable UML 11) Executable UML MDD Executable UML PBL 1 2 3 4 (MDD) PBL Project Based Learning MDD PBL PBL PBL MDD PBL A Software Development PBL for Beginners using Project Facilitation Tools Seiko Akayama, 1 Shin Kuboaki, 2 Kenji Hisazumi 3 and Takao

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro TV 1,2,a) 1 2 2015 1 26, 2015 5 21 Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Rotation Using Mobile Device Hiroyuki Kawakita 1,2,a) Toshio Nakagawa 1 Makoto Sato

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

SEJulyMs更新V7

SEJulyMs更新V7 1 2 ( ) Quantitative Characteristics of Software Process (Is There any Myth, Mystery or Anomaly? No Silver Bullet?) Zenya Koono and Hui Chen A process creates a product. This paper reviews various samples

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2 CHLAC 1 2 3 3,. (CHLAC), 1).,.,, CHLAC,.,. Suspicious Behavior Detection based on CHLAC Method Hideaki Imanishi, 1 Toyohiro Hayashi, 2 Shuichi Enokida 3 and Toshiaki Ejima 3 We have proposed a method for

More information

RW1097-0A-001_V0.1_170106

RW1097-0A-001_V0.1_170106 INTRODUCTION RW1097 is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It can display 1line/2line/3line/4line/5line/6lines x 12 (16 x 16 dot format) with the

More information

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055 1 1 1 2 DCRA 1. 1.1 1) 1 Tactile Interface with Air Jets for Floating Images Aya Higuchi, 1 Nomin, 1 Sandor Markon 1 and Satoshi Maekawa 2 The new optical device DCRA can display floating images in free

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

VDM-SL ISO.VDM++ VDM-SL VDM- RT VDM++ VDM,.VDM, [5]. VDM VDM++.,,, [7]., VDM++.,., [7] VDM++.,,,,,,,.,,, VDM VDMTools OvertureTo

VDM-SL ISO.VDM++ VDM-SL VDM- RT VDM++ VDM,.VDM, [5]. VDM VDM++.,,, [7]., VDM++.,., [7] VDM++.,,,,,,,.,,, VDM VDMTools OvertureTo KAOS 1 1 1 1 1,.,. ( ). KAOS VDM++.,.,,, 1. 1.1,, [1].,,, [2].,, [3]. 1.2 ( ),, [3] KAOS, VDM++, KAOS VDM++ 1 Kyushu University, KAOS,, KAOS, KAOS, VDM++., 1.3 2,., 3, KAOS VDM++. 4, 3,. 5 2. 2.1,,,,,

More information

3_23.dvi

3_23.dvi Vol. 52 No. 3 1234 1244 (Mar. 2011) 1 1 mixi 1 Casual Scheduling Management and Shared System Using Avatar Takashi Yoshino 1 and Takayuki Yamano 1 Conventional scheduling management and shared systems

More information

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6)

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6) 1 2 1 3 Experimental Evaluation of Convenient Strain Measurement Using a Magnet for Digital Public Art Junghyun Kim, 1 Makoto Iida, 2 Takeshi Naemura 1 and Hiroyuki Ota 3 We present a basic technology

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

IPSJ SIG Technical Report Pitman-Yor 1 1 Pitman-Yor n-gram A proposal of the melody generation method using hierarchical pitman-yor language model Aki

IPSJ SIG Technical Report Pitman-Yor 1 1 Pitman-Yor n-gram A proposal of the melody generation method using hierarchical pitman-yor language model Aki Pitman-Yor Pitman-Yor n-gram A proposal of the melody generation method using hierarchical pitman-yor language model Akira Shirai and Tadahiro Taniguchi Although a lot of melody generation method has been

More information

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us 1,a) 1 1 1 1 2 2 2011 8 10, 2011 12 2 1 Bluetooth 36 2 3 10 70 34 A Health Management Service by Cell Phones and Its Usability Evaluation Naofumi Yoshida 1,a) Daigo Matsubara 1 Naoki Ishibashi 1 Nobuo

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

1_26.dvi

1_26.dvi C3PV 1,a) 2,b) 2,c) 3,d) 1,e) 2012 4 20, 2012 10 10 C3PV C3PV C3PV 1 Java C3PV 45 38 84% Programming Process Visualization for Supporting Students in Programming Exercise Hiroshi Igaki 1,a) Shun Saito

More information

1 1 CodeDrummer CodeMusician CodeDrummer Fig. 1 Overview of proposal system c

1 1 CodeDrummer CodeMusician CodeDrummer Fig. 1 Overview of proposal system c CodeDrummer: 1 2 3 1 CodeDrummer: Sonification Methods of Function Calls in Program Execution Kazuya Sato, 1 Shigeyuki Hirai, 2 Kazutaka Maruyama 3 and Minoru Terada 1 We propose a program sonification

More information

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Speech Visualization System Based on Augmented Reality Yuichiro Nagano 1 and Takashi Yoshino 2 As the spread of the Augmented Reality(AR) technology and service,

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

ID 3) 9 4) 5) ID 2 ID 2 ID 2 Bluetooth ID 2 SRCid1 DSTid2 2 id1 id2 ID SRC DST SRC 2 2 ID 2 2 QR 6) 8) 6) QR QR QR QR

ID 3) 9 4) 5) ID 2 ID 2 ID 2 Bluetooth ID 2 SRCid1 DSTid2 2 id1 id2 ID SRC DST SRC 2 2 ID 2 2 QR 6) 8) 6) QR QR QR QR Vol. 51 No. 11 2081 2088 (Nov. 2010) 2 1 1 1 which appended specific characters to the information such as identification to avoid parity check errors, before QR Code encoding with the structured append

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

Vol. 48 No. 4 Apr LAN TCP/IP LAN TCP/IP 1 PC TCP/IP 1 PC User-mode Linux 12 Development of a System to Visualize Computer Network Behavior for L

Vol. 48 No. 4 Apr LAN TCP/IP LAN TCP/IP 1 PC TCP/IP 1 PC User-mode Linux 12 Development of a System to Visualize Computer Network Behavior for L Vol. 48 No. 4 Apr. 2007 LAN TCP/IP LAN TCP/IP 1 PC TCP/IP 1 PC User-mode Linux 12 Development of a System to Visualize Computer Network Behavior for Learning to Associate LAN Construction Skills with TCP/IP

More information

AFO AFO 4 2.3AFO 5 3 AFO 3.1 AFO

AFO AFO 4 2.3AFO 5 3 AFO 3.1 AFO 17 1060126 1 1 2 2 AFO 2.1 3 2.2AFO 4 2.3AFO 5 3 AFO 3.1 AFO 6 3.2 6 3.3 7 3.4 8 3.5 9 4.1 14 4.2 17 4.3 18 4.4 18 5.1 19 5.2 19 5.3 19 5.4 21 6.1 22 23 24 1 1 (Ankle-foot orthosis AFO) 1) AFO(Fig.1) AFO

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

2. Twitter Twitter 2.1 Twitter Twitter( ) Twitter Twitter ( 1 ) RT ReTweet RT ReTweet RT ( 2 ) URL Twitter Twitter 140 URL URL URL 140 URL URL

2. Twitter Twitter 2.1 Twitter Twitter( ) Twitter Twitter ( 1 ) RT ReTweet RT ReTweet RT ( 2 ) URL Twitter Twitter 140 URL URL URL 140 URL URL 1. Twitter 1 2 3 3 3 Twitter Twitter ( ) Twitter (trendspotter) Twitter 5277 24 trendspotter TRENDSPOTTER DETECTION SYSTEM FOR TWITTER Wataru Shirakihara, 1 Tetsuya Oishi, 2 Ryuzo Hasegawa, 3 Hiroshi Hujita

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

揃 24 1681 0 20 40 60 80 100 0 21 42 63 84 Lag [hour] Lag [day] 35

揃 24 1681 0 20 40 60 80 100 0 21 42 63 84 Lag [hour] Lag [day] 35 Forecasting Model for Electricity Consumption in Residential House Based on Time Series Analysis * ** *** Shuhei Kondo Nobayasi Masamori Shuichi Hokoi ( 2015 7 3 2015 12 11 ) After the experience of electric

More information

U-PHORIA UMC404HD/UMC204HD/UMC202HD/UMC22/UM2

U-PHORIA UMC404HD/UMC204HD/UMC202HD/UMC22/UM2 U-PHORIA UMC404HD Audiophile 4x4, 24-Bit/192 khz USB Audio/MIDI Interface with MIDAS Mic Preamplifiers UMC204HD Audiophile 2x4, 24-Bit/192 khz USB Audio/MIDI Interface with MIDAS Mic Preamplifiers UMC202HD

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

1

1 Ver.1.04 Reference Document For LCD Module Product No Documenet No 1B3GB02 SPC1B3GB02V104 Version Ver.1.04 REPRO ELECTRONICS CORPORATION Maruwa Building 2F,2-2-19 Sotokanda,Chiyoda-ku,Tokyo 1001-0021 Japan

More information

Input image Initialize variables Loop for period of oscillation Update height map Make shade image Change property of image Output image Change time L

Input image Initialize variables Loop for period of oscillation Update height map Make shade image Change property of image Output image Change time L 1,a) 1,b) 1/f β Generation Method of Animation from Pictures with Natural Flicker Abstract: Some methods to create animation automatically from one picture have been proposed. There is a method that gives

More information

特-3.indd

特-3.indd Development of Automation Technology for Precision Finishing Works Employing a Robot Arm There is demand for the automation of finishing processes that require technical skills in the manufacturing of

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

独立行政法人情報通信研究機構 Development of the Information Analysis System WISDOM KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the infor

独立行政法人情報通信研究機構 Development of the Information Analysis System WISDOM KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the infor 独立行政法人情報通信研究機構 KIDAWARA Yutaka NICT Knowledge Clustered Group researched and developed the information analysis system WISDOM as a research result of the second medium-term plan. WISDOM has functions that

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

dsample.dvi

dsample.dvi 1 1 1 2009 2 ( ) 600 1 2 1 2 RFID PC Practical Verification of Evacuation Guidance Based on Pedestrian Traffic Measurement Tomohisa Yamashita, 1 Shunsuke Soeda 1 and Noda Itsuki 1 In this paper, we report

More information

36 581/2 2012

36 581/2 2012 4 Development of Optical Ground Station System 4-1 Overview of Optical Ground Station with 1.5 m Diameter KUNIMORI Hiroo, TOYOSHMA Morio, and TAKAYAMA Yoshihisa The OICETS experiment, LEO Satellite-Ground

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

1

1 PalmGauss SC PGSC-5G Instruction Manual PalmGauss SC PGSC-5G Version 1.01 PalmGauss SC PGSC5G 1.... 3 2.... 3 3.... 3 3.1... 3 3.2... 3 3.3 PalmGauss... 4 3.4... 4 3.4.1 (Fig. 4)... 4 3.4.2 (Fig. 5)...

More information

01 23A1-W-0012.indd

01 23A1-W-0012.indd Electrical Equipment for High Speed Rolling Stock 23A1-W-0012 1 Fuji Electric produces electrical equipment for Shinkansen (bullet) trains, contributing to the famously safe, high-speed operation of Shinkansen

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 64 No. 2 Nov. 2018 Optical Disc Archiving System with 100 Years Lifespan of Digital Data Takuto Yamazaki Yasushi Kobayashi Blu-ray Disc 1 Archival Disc 2 3300 GB 10012

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

1 7.35% 74.0% linefeed point c 200 Information Processing Society of Japan

1 7.35% 74.0% linefeed point c 200 Information Processing Society of Japan 1 2 3 Incremental Linefeed Insertion into Lecture Transcription for Automatic Captioning Masaki Murata, 1 Tomohiro Ohno 2 and Shigeki Matsubara 3 The development of a captioning system that supports the

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig Mover Design and Performance Analysis of Linear Synchronous Reluctance Motor with Multi-flux Barrier Masayuki Sanada, Member, Mitsutoshi Asano, Student Member, Shigeo Morimoto, Member, Yoji Takeda, Member

More information

12_26.dvi

12_26.dvi Vol. 48 No. 12 Dec. 2007 WSCS Generation of Spatial Distance ( Maai ) by Emergence of Co-existing Feeling and Expression of Rhythm Shiroh Itai and Yoshiyuki Miwa We have investigated the generation of

More information

PowerPoint

PowerPoint 2011 12 6PTC Live TechForum TOKYO manji@rd.denso.co.jp 1/ 52 1949 12 16 1,874 ( ) 1 9,457 3 1,315 ( ) 38,318 123,165 ( ) 2011 3 31 Gr. Gr. Gr. Gr. Gr. PF 2/ 52 1 2 3 4PMT 5 Integrity 6 7 8 1/2 3/ 52 OEM

More information

システムオンチップ技術

システムオンチップ技術 (SoC) 2004/6/11 Yukihiro Nakamura e-mail: nakamura@kuee.kyoto-u.ac.jp u.ac.jp (VLSI) () VLSI DIPS IBM370 CPU MH MB GB DIPS-11201975 VAIO LSI Sony VAIO CPU MH MB GB Pentium () () V,S.,B Sun Micro

More information

IPSJ SIG Technical Report Vol.2011-EC-19 No /3/ ,.,., Peg-Scope Viewer,,.,,,,. Utilization of Watching Logs for Support of Multi-

IPSJ SIG Technical Report Vol.2011-EC-19 No /3/ ,.,., Peg-Scope Viewer,,.,,,,. Utilization of Watching Logs for Support of Multi- 1 3 5 4 1 2 1,.,., Peg-Scope Viewer,,.,,,,. Utilization of Watching Logs for Support of Multi-View Video Contents Kosuke Niwa, 1 Shogo Tokai, 3 Tetsuya Kawamoto, 5 Toshiaki Fujii, 4 Marutani Takafumi,

More information

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO and Masaru KOBAYASHI Chassis Engineering Management

More information

3D UbiCode (Ubiquitous+Code) RFID ResBe (Remote entertainment space Behavior evaluation) 2 UbiCode Fig. 2 UbiCode 2. UbiCode 2. 1 UbiCode UbiCode 2. 2

3D UbiCode (Ubiquitous+Code) RFID ResBe (Remote entertainment space Behavior evaluation) 2 UbiCode Fig. 2 UbiCode 2. UbiCode 2. 1 UbiCode UbiCode 2. 2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS HCG HUMAN COMMUNICATION GROUP SYMPOSIUM. UbiCode 243 0292 1030 E-mail: {ubicode,koide}@shirai.la, {otsuka,shirai}@ic.kanagawa-it.ac.jp

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. E-mail: {ytamura,takai,tkato,tm}@vision.kuee.kyoto-u.ac.jp Abstract Current Wave Pattern Analysis for Anomaly

More information

1 UD Fig. 1 Concept of UD tourist information system. 1 ()KDDI UD 7) ) UD c 2010 Information Processing S

1 UD Fig. 1 Concept of UD tourist information system. 1 ()KDDI UD 7) ) UD c 2010 Information Processing S UD 1 2 3 4 1 UD UD UD 2008 2009 Development and Evaluation of UD Tourist Information System Using Mobile Phone to Heritage Park HISASHI ICHIKAWA, 1 HIROYUKI FUKUOKA, 2 YASUNORI OSHIDA, 3 TORU KANO 4 and

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076%

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076% 2013 (409812) FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT 6 1000 IPC FabCache 0.076% Abstract Single-ISA heterogeneous multi-core processors are increasing importance in the processor architecture.

More information

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F Vol. 42 No. 4 Apr. 2001 VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating Frequencies Maki Horita, Tsutomu Yoshinaga, Kanemitsu

More information

Fig. 2 Signal plane divided into cell of DWT Fig. 1 Schematic diagram for the monitoring system

Fig. 2 Signal plane divided into cell of DWT Fig. 1 Schematic diagram for the monitoring system Study of Health Monitoring of Vehicle Structure by Using Feature Extraction based on Discrete Wavelet Transform Akihisa TABATA *4, Yoshio AOKI, Kazutaka ANDO and Masataka KATO Department of Precision Machinery

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation 1 1 1 1 SPEC CPU 2000 EQUAKE 1.6 50 500 A Parallelizing Compiler Cooperative Multicore Architecture Simulator with Changeover Mechanism of Simulation Modes GAKUHO TAGUCHI 1 YOUICHI ABE 1 KEIJI KIMURA 1

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

xx/xx Vol. Jxx A No. xx 1 Fig. 1 PAL(Panoramic Annular Lens) PAL(Panoramic Annular Lens) PAL (2) PAL PAL 2 PAL 3 2 PAL 1 PAL 3 PAL PAL 2. 1 PAL

xx/xx Vol. Jxx A No. xx 1 Fig. 1 PAL(Panoramic Annular Lens) PAL(Panoramic Annular Lens) PAL (2) PAL PAL 2 PAL 3 2 PAL 1 PAL 3 PAL PAL 2. 1 PAL PAL On the Precision of 3D Measurement by Stereo PAL Images Hiroyuki HASE,HirofumiKAWAI,FrankEKPAR, Masaaki YONEDA,andJien KATO PAL 3 PAL Panoramic Annular Lens 1985 Greguss PAL 1 PAL PAL 2 3 2 PAL DP

More information

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan SNS 1,a) 2 3 3 2012 3 30, 2012 10 10 SNS SNS Development of Firefighting Knowledge Succession Support SNS in Tokyo Fire Department Koutarou Ohno 1,a) Yuki Ogawa 2 Hirohiko Suwa 3 Toshizumi Ohta 3 Received:

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

189 2015 1 80

189 2015 1 80 189 2015 1 A Design and Implementation of the Digital Annotation Basis on an Image Resource for a Touch Operation TSUDA Mitsuhiro 79 189 2015 1 80 81 189 2015 1 82 83 189 2015 1 84 85 189 2015 1 86 87

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for 1 2 3 3 1 Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for Mobile Terminals Kaoru Wasai 1 Fumio Sugai 2 Yosihiro Kita 3 Mi RangPark 3 Naonobu

More information

system.pptx

system.pptx 2011/5/11 NAIST CPU CPU 4 (UNIX)# (Windows)#... # (1U, 2U, 4U etc.)# (E-ATX, micro-atx, mini-itx etc.)# # #...# BIOS ROM OS# CD, DVD# n #...# # Bernoulli model: p Gilbert-Elliott model: G: good state#

More information

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei Just-In-Time PG 1,a) 1, 1 2 1 1 Just-In-Time VM Geyser Dalvik VM CaffeineMark SPECJVM 17% 1. LSI [1][2][3][4][5] (PG) Geyser [6][7] PG ON/OFF OS PG PG [7][8][9][10] Java Just-In-Time (JIT PG [10] JIT 1

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

SICE東北支部研究集会資料(2012年)

SICE東北支部研究集会資料(2012年) 77 (..3) 77- A study on disturbance compensation control of a wheeled inverted pendulum robot during arm manipulation using Extended State Observer Luis Canete Takuma Sato, Kenta Nagano,Luis Canete,Takayuki

More information

. IDE JIVE[1][] Eclipse Java ( 1) Java Platform Debugger Architecture [5] 3. Eclipse GUI JIVE 3.1 Eclipse ( ) 1 JIVE Java [3] IDE c 016 Information Pr

. IDE JIVE[1][] Eclipse Java ( 1) Java Platform Debugger Architecture [5] 3. Eclipse GUI JIVE 3.1 Eclipse ( ) 1 JIVE Java [3] IDE c 016 Information Pr Eclipse 1,a) 1,b) 1,c) ( IDE) IDE Graphical User Interface( GUI) GUI GUI IDE View Eclipse Development of Eclipse Plug-in to present an Object Diagram to Debug Environment Kubota Yoshihiko 1,a) Yamazaki

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

Vol. 42 No. SIG 8(TOD 10) July HTML 100 Development of Authoring and Delivery System for Synchronized Contents and Experiment on High Spe

Vol. 42 No. SIG 8(TOD 10) July HTML 100 Development of Authoring and Delivery System for Synchronized Contents and Experiment on High Spe Vol. 42 No. SIG 8(TOD 10) July 2001 1 2 3 4 HTML 100 Development of Authoring and Delivery System for Synchronized Contents and Experiment on High Speed Networks Yutaka Kidawara, 1 Tomoaki Kawaguchi, 2

More information

IPSJ SIG Technical Report iphone iphone,,., OpenGl ES 2.0 GLSL(OpenGL Shading Language), iphone GPGPU(General-Purpose Computing on Graphics Proc

IPSJ SIG Technical Report iphone iphone,,., OpenGl ES 2.0 GLSL(OpenGL Shading Language), iphone GPGPU(General-Purpose Computing on Graphics Proc iphone 1 1 1 iphone,,., OpenGl ES 2.0 GLSL(OpenGL Shading Language), iphone GPGPU(General-Purpose Computing on Graphics Processing Unit)., AR Realtime Natural Feature Tracking Library for iphone Makoto

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

地球観測衛星データの保存・配布システム

地球観測衛星データの保存・配布システム Earth Remote Sensing Data Archive and Distribution System NASAEOS Earth Observing SystemASTER Advanced Spaceborne Thermal Emission and Reflection Radiometer ASTERASTER EOS ASTER DADSData Archive and Distribution

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information