システムオンチップ技術

Size: px
Start display at page:

Download "システムオンチップ技術"

Transcription

1 (SoC) 2004/6/11 Yukihiro Nakamura u.ac.jp

2 (VLSI) () VLSI

3 DIPS IBM370 CPU MH MB GB DIPS VAIO LSI Sony VAIO CPU MH MB GB Pentium

4

5

6

7 () ()

8

9

10

11

12

13

14 V,S.,B Sun Micro Apple Microsoft

15 NTT NASDAQ() Cisco Systems, Inc. Intel Corporation Dell Computer Corporation WorldCom, Inc. Sun Microsystems, Inc. Oracle Corporation Microsoft Corporation JDS Uniphase Corporation Applied Materials, Inc. Network Appliance, Inc. IT

16 $1= NTT () 10 General Electric Cisco Systems Intel Microsoft Exxon Mobil Wal-Mart Stores Citigroup Oracle IBM EMC IT

17 NTT IT NEC () :

18 (SEMATECH) Logic Tr. / Chip 10M 1M 100K 10K 1K Logic Tr./Chip Tr. /Staff-Mo 58% /Yr. Compound Complexity Growth rate Year 21% /Yr. Compound Productivity Growth rate 100M 10M 1M 100K 10K 1K Tr. / Staff-Month

19 DB DB / LSI LSI LSI LSI LSI LSI LSI LSI / / ( ) / / / LSI/MSI/SSI LSI/MSI/SSI / ( )

20 VLSI 10 7

21 Deep Submicron Technology SoC 10 7 SoCIT ScCIT()

22 SFLCPU 18 Data Address 8bit 8bit RR op IF1 EX RX op IF1 M RXE op IF1 M EX INX, SEC, CLC, ROLA, COMA, INP LDAX, STAX ADCX, ANDX, SUBX RI op imm IF1 IF2 EX 3 LDAI, LDXI XM op adrs IF1 IF2 M 3 LDXM, STXM B op adrs IF1 IF2 B 3 BC, BZ, B

23

24

25

26

27

28

29

30 () ()DA(1981

31 Programming-like Design Method SFL( ) PARTHENON

32 stage fetch { } stage exec { state exec par{ SFL state fetch1 par { /* word */ op1 := memory.read( pc ).out_data ; pc := inc.inc( pc ).out ; alt{ idec.idec( memory.out_data ).out: goto fetch2 ; else :relay exec. task( ) ; } } state fetch2 par{ /* () */ op2 := memory.read( pc ).out_data ; pc := inc.inc( pc ).out ; goto fetch1 ; relay exec.task( ) ;

33 Global System Designer CAD IT Information Technology SoC System on-a-chip

34 SFL/PARTHENON SFL 8bit CPU)( ) (1) SFL (Structured Function description Language) (2) (8bit CPU) (3) SFL ( ) ASIC Mask Pattern netlist () () FPGA FPGA Mapper

35 SFL Verilog-HDL VHDL UDL/I

36 SFL Verilog-HDL VHDL UDL/I NTT Cadence IFIP Gateway Synopsys IEEE PARTHENON

37 SFL SFL Verilog-HDL, VHDL UDL/I

38 SFL

39 ITSoC

40 SoC SpecC, SystemC, Superlog C/C++ IT Information Technology SoC System on-a-chip

41 PARTHENON s success PARTHENON 32 bit Risc Processor, FDDP Perfect Harmony between Behavioral Language and Logic Synthesis! Number of Instructions Pipelining Number of Gates Performance Pins Chip Size Process Design Effort Foundry 47(subset of DLX) 5-stage pipeline 13,933 gates More than 10 MIPS 172/ mm8.79mm 1.0m CMOS 44 person-days VLSI Technology Inc. 44 NTT Communication Science Laboratories

42 PARTHENON s success 155Mbps TCP/IP

43 PARTHENON s success MPEG Decoder LSI

44 PARTHENON s success MPEG2/PCI Card

45 MPEG2 Card

46 PARTHENON s success Apple CPU6502 () 19947

47 PARTHENON s success PARTHENON Vector Processor for DSP Systems Perfect Harmony between Behavioral Language and Logic Synthesis! Microcode Pipelining Number of Gates Performance Pins Chip Size Process Design Effort Foundry VLIW type 128bit(2types) 3-stage pipeline3 150Kgates 120 MFLOPS (40MHz) mm15mm 1.0m CMOS 30 person-months TOSHIBA Corp. NTT Transmission Systems Laboratories

48 SHD

49 ()) SoC/VLSI /VLSI,

50

51

52

53

54

55 () 4

56 EMS (Electronics Manufacturing Services) EMCS20014 Engineering, Manufacturing and Customer Services

57 ATT) (20023) NEC/WS/ (200110)

58

59 Fedex

60 ()(2003) ()

61 EMS

62

63

64 (1) 49.7 (2)79.3 (3)25.1 (51.4)(20.6) (4)55.1 (22) (19.9) (5) 55.6 (6)

65

66

67

68

69 REJECT

70

imai@eng.kagawa-u.ac.jp No1 No2 OS Wintel Intel x86 CPU No3 No4 8bit=2 8 =256(Byte) 16bit=2 16 =65,536(Byte)=64KB= 6 5 32bit=2 32 =4,294,967,296(Byte)=4GB= 43 64bit=2 64 =18,446,744,073,709,551,615(Byte)=16EB

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

橡Webcamユーザーガイド03.PDF

橡Webcamユーザーガイド03.PDF Desktop On-Call Version 4 Webcam extension Pak for Windows Webcam extension Pak Desktop On-Call Version 4 Web PC i Desktop On-Call Version 4 PC PC Desktop On-Call Version 4 PC Windows 98 Windows 98SE Windows

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

橡松下発表資料.PDF

橡松下発表資料.PDF ... TV TV MPEG2 1394 JAVA HTML BML LSI Bluetooth 802.11 Linux PLC Internet ITRON 1. 2. TV -1-2 -3 3. 1. 2. TV -1-2 -3 3. 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 96/9 PerfecTV 98/4 SkyPerfecTV

More information

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F

Vol. 42 No. 4 Apr VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating F Vol. 42 No. 4 Apr. 2001 VC 2 VC 4 VC VC 4 Recover-x Performance Evaluation of Adaptive Routers Based on the Number of Virtual Channels and Operating Frequencies Maki Horita, Tsutomu Yoshinaga, Kanemitsu

More information

システムの政府調達に関する日米内外価格差調査

システムの政府調達に関する日米内外価格差調査 Table of Contents Executive Summary... 10 Methodology... 12... 13 PC... 13... 15... 17... 17... 18... 19 IT... 22 IT... 22 IT... 24 IT... 26 2001... 26 2002 IT... 33... 36... 36 List of Tables Table Page

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

2nd-1.dvi

2nd-1.dvi 7 ZEAL : OptiPlex GX 7 ZEAL ZEAL-Z ZEAL-C ZEAL-C CPU Memory OS Intel Pentium (3GHz) GB Windows Vista Business (-bit) ZEAL Microsoft Windows Mobile 5. ZEAL Bluetooth 3 ZEAL 5 Microsoft Visual C# 5 66 OS

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

rzat10pdf.ps

rzat10pdf.ps IBM i 7.2 IBM Navigator for i IBM IBM i 7.2 IBM Navigator for i IBM 9 IBM i 7.2 ( 5770-SS1) RISC CISC IBM IBM i Version 7.2 Connecting to your system Connecting to IBM Navigator for i Copyright IBM Corporation

More information

DPCK-US10

DPCK-US10 3-064-500-02(1) DPCK-US10 2000 Sony Corporation DPCK-US10 USB MGI PhotoSuite MGI PhotoSuite PhotoSuite V8.1 Windows ) VideoWave SE+ Windows PhotoSuite SE V1.1(Macintosh ) DPCK-US10 USB USB MGI PhotoSuite

More information

富士通会社案内2014-2015

富士通会社案内2014-2015 CONTENTS 04. 05. FUJITSU Way 06. Fujitsu Technology and Service Vision 08. 10. 11. 12. 14. 15. 16. 18. ICT ICTInformation and Communication Technology ICT ICT 04 Fujitsu Way n n n n n n 05 Fujitsu Technology

More information

2005 1

2005 1 25 SPARCstation 2 CPU central processor unit 25 2 25 3 25 4 DRAM 25 5 25 6 : DRAM 25 7 2 25 8 2 25 9 2 bit: binary digit V 2V 25 2 2 2 2 4 5 2 6 3 7 25 A B C A B C A B C A B C A C A B 3 25 2 25 3 Co Cin

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

,,.,,., II,,,.,,.,.,,,.,,,.,, II i

,,.,,., II,,,.,,.,.,,,.,,,.,, II i 12 Load Dispersion Methods in Thin Client Systems 1010405 2001 2 5 ,,.,,., II,,,.,,.,.,,,.,,,.,, II i Abstract Load Dispersion Methods in Thin Client Systems Noritaka TAKEUCHI Server Based Computing by

More information

12 9 2 12 13 2 14 11 1 2 1 3 3 4 13 12 18 9 22 22 2005 13 5 22 32 21 13 12 3 37 37 13 6 26 50 13 1 16 56 56 12 12 5 61 16 75 80 83 83 12 10 31 87 21 13 2 6 100 21 104 104 13 3 21 109 13 4 24 115 14 4 18

More information

untitled

untitled 2020 03 7 () NEC CSK 200 48 195 5.22.9 91 2001 26.4% 14.4 A 5000 200 B() CD() E() A I griculture BC3000 B asic Material AD1800 C apital Goods AD1900 D igital Electronics AD1990 E lectronic Commerce

More information

活用ガイド(ハードウェア編)

活用ガイド(ハードウェア編) 4 5 6 1 2 3 7 8 MITSUBISHI ELECTRIC INFORMATION TECHNOLOGY CORPORATION 2010 9 PART 1 10 11 PART 2 PART 3 12 PART 4 PART 5 13 P A R T 1 16 1 17 18 1 19 20 1 21 22 1 1 2 23 1 2 3 4 24 1 25 26 1 27 1 2 3

More information

卒業論文

卒業論文 PC OpenMP SCore PC OpenMP PC PC PC Myrinet PC PC 1 OpenMP 2 1 3 3 PC 8 OpenMP 11 15 15 16 16 18 19 19 19 20 20 21 21 23 26 29 30 31 32 33 4 5 6 7 SCore 9 PC 10 OpenMP 14 16 17 10 17 11 19 12 19 13 20 1421

More information

MSAC-EX1

MSAC-EX1 3-218-418-02 (1) ExpressCard MSAC-EX1 b 2 MSAC-EX1 3 Program 2007 Sony Corporation Documentation 2007 Sony Corporation Memory Stick MagicGate Memory Stick Memory Stick Duo MagicGate Memory Stick Duo Memory

More information

2016 10 31 1. 1.1 20 1 1993 20 2 2 1 industrial society 2 2 169 2014 3 1.2 4 5 6 3 1.3 4 5 1973 6 170 7 8 9 7 ISO/IEC 9126 11 8 1 9 ABS ABS ABS ABS 171 2. 2.1 1960 10 11 12 13 10 1964 IBM S/360 11 16 FORTRAN

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

アンケート調査のお願い

アンケート調査のお願い 31 32 33 34 35 36 37 38 39 40 41 42 43 44 ISDN Internet Internet Router DB 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 ( ) 64 65 66 NCT ISO ISO (2000) 67 68 Windows Oracle G G G 69 70 71 72

More information

PDF.PDF

PDF.PDF 1 2 3 LAN Ethernet( ) TSS(Time Sharing System: ) TSS CPU TSS LAN 3Mbit/s 10Mbit/s 9.6Kbit/s LAN DEC Intel 3 DIX DIX 10Mbit/s 500m 10Base5 LAN IEEE802 IEEE802.3 100Mbit/s 100BaseTX TCP/IP Ethernet LAN 7

More information

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops ボードの概要 Control processor (FPGA by Altera) GRAPE-MP chip[nextreme

More information

Printer Driverセットアップ編

Printer Driverセットアップ編 Microsoft MS-DOS Windows Windows Server Windows Vista Internet Explorer PowerPoint Outlook Microsoft Corporation Microsoft Corporation Intel Intel Inside Itanium Pentium Intel Corporation USB-IF Universal

More information

コミュニケーションユーティリティー編

コミュニケーションユーティリティー編 はじめに動付録デジタル複合機用 取扱説明書ソフトウェア ( コミュニケーションユーティリティー編 ) スキャナー設定作環境 Microsoft MS-DOS Windows Windows Server Windows Vista Internet Explorer Excel PowerPoint Outlook Windows Microsoft Corporation Microsoft Corporation

More information

AC 2

AC 2 4-275-482-02(1) Q&A http://www.sony.co.jp/dslr/support/ http://www.sony.jp/ichigan-e/ (English manual download service is available.) http://www.sony.co.jp/support-disoft/ NEX-C3 E...0120-333-020 PHSIP...0466-31-2511

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

はじめに

はじめに 2001 EMS. Electronics Manufacturing Services Industry EMS EMS EMS EMS EMS 2 EMSI 1 2 3 2 EMS. EMS 1 EMS Cisco IT EMS 90 IT IT 70 IT EMS 90 1 2 90 OEM 3 EMS 4 EMS 90 EMS EMS 2000 10 EMS Solectron 5 Sony

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

<4D6963726F736F667420576F7264202D2081793034817A939D8D8795F18D908F91816998418C678A889770816A2E646F63>

<4D6963726F736F667420576F7264202D2081793034817A939D8D8795F18D908F91816998418C678A889770816A2E646F63> Geographic Information System 11 12 GIS 13 GIS 14 GIS 31 51 9.12 12 FX 32 33 1976 9.21 1976 9.21 2000 34 35 36 1 1 37 38 39 40 UML 6 1 / 1 /10 C 10 B 10 C 10 B 1 FX B C 3 B 1 FX B ID WebGIS GIS DB DB SP

More information

M-crew for HAR-LH500 (Version 2.6J)

M-crew for HAR-LH500 (Version 2.6J) 4-249-905-01 (1) M-crew for HAR-LH500 Version 2.6J M-crew Readme Readme M-crew for HAR-LH500 Readme 2003 Sony Corporation Program 1997-2003 Sony Corporation Documentation 2003 Sony Corporation 2003 Sony

More information

DCR-SR100

DCR-SR100 x ImageMixer for HDD Camcorder 2-672-704-01(1) x http://www.pixela.co.jp/oem/sony/j/ x ImageMixer for HDD Camcorder 06-6633-3900 95 DCR-SR100 6 DVD 9 / 13 DVD 20 25 28 / 100 VOC Printed in Japan 2006 Sony

More information

A book

A book Hitachi Multi Payment Network communications server 3000-3-493-40 P-1B43-6111 Hitachi Multi Payment Network communications server Base 01-03( OS HP-UX 11.0 HP-UX 11i) P-1B43-6311 Hitachi Multi Payment

More information

untitled

untitled Copyright 2008 IPAAll Rights Reserved 1 1. 2. 3. Copyright 2008 IPAAll Rights Reserved 2 IT IT IT Copyright 2008 IPAAll Rights Reserved http://www.jitec.jp/1_00topic/topic_20071225_shinseido.html 3 URL

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

ネットワーク装置設定/ アドレス帳編集編

ネットワーク装置設定/ アドレス帳編集編 動作環境はじめに付録ネットワーク装置設定/アドレス帳編集の起動ネットワーク装置設定/アドレス帳編集の使い方取扱説明書ソフトウェア ( ネットワーク装置設定 / アドレス帳編集編 ) デジタル複合機用 Microsoft MS-DOS Windows Windows Server Windows Vista Internet Explorer Excel PowerPoint Outlook Windows

More information

NEC THE INTERNET SOLUTION PROVIDER NEC NEC 12 NEC 16 NEC NEC NEC NEC NEC NEC

NEC THE INTERNET SOLUTION PROVIDER NEC NEC 12 NEC 16 NEC NEC NEC NEC NEC NEC NEC CORPORATION NEC NETWORKS NEC SOLUTIONS THE RIGHT STRENGTHS FOR THE INTERNET ERA NEC ELECTRON DEVICES NEC THE INTERNET SOLUTION PROVIDER NEC 1 2 6 10 NEC 12 NEC 16 NEC 20 24 54 55 56 NEC NEC NEC NEC

More information

はじめに

はじめに SFC ディスカッションペーパー SFC-DP 2009-005 ARM 社の競争力分析 佐藤淳史 慶應義塾大学大学院政策 メディア研究科修士課程修了 sato726@gmail.com 2009 年 7 月 1 1 2005 2 2 32 RISC 3 SuperHSH ARM ARM 2 ARM RISC 75% 4 5 ARM ARM SH IP 6 IP 7 3 SH ARM SH ARM

More information

Microsoft Word _zuken_2019_03_q2_report_2018_12_17_japanese.docx

Microsoft Word _zuken_2019_03_q2_report_2018_12_17_japanese.docx URL: www.walden.co.jp E-mail: info@walden.co.jp 03 (3553) 3769 6947 EPS DPS BPS FY03/2017 22,199 1,596 1,571 1,206 51.9 20.0 1,209 FY03/2018 23,582 2,025 2,114 1,511 65.0 22.0 1,295 FY03/2019 25,500 2,500

More information

GA-1200J

GA-1200J GA-1200J 1 1. 2. 3. 4. 2 5. 3 PDF 4 PDF PDF PDF PDF PDF PDF PDF PDF 5 6 ...1...2...4 1...12 2...16...18 3...22 4...24 5 TopAccess TopAccess...28 6 TopAccess...32...34 7 ...43...55 7 TopAccess...68 8 TopAccess

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

MDR-DS7100

MDR-DS7100 4-159-915-01(1) デジタルサラウンド ヘッドホンシステム プロダクトインフォメーション MDR-DS7100 2009 Sony Corporation 1...4 1.1....4 1.2....4 1.3. VPT...6 2...11 2.1. 7.1 chvpt... 11 2.2. DSP... 12 2.3. CINEMA... 12 2.4. GAME... 13 2.5....

More information

スライド タイトルなし

スライド タイトルなし (1) - E-Mail: katto@waseda.jp Y U V R G B (1/30 ) RGB / YUV = B G R V U Y 0.31 0.52 0.21 0.32 0.28 0.60 0.11 0.59 0.30 RGB YUV CCIR 601 4:4:4 4:2:2 4:2:0 Y Y Y U V U V U V YUVUV UV 4:2:0 4:2:2 (RGB8 )

More information

NW-A865 / A866 / A867

NW-A865 / A866 / A867 / / / FM Bluetooth / / 156 NW-A865 / A866 / A867 87 99 1 2 USB USB 3 / MD CD MD CD 155 x- x- 156 MD CD x- Bluetooth CD 30 32 51 52 56 61 Bluetooth Bluetooth Bluetooth 66 6 155 x- mora mora x- ...4... 4...

More information

Oracle_for_SAP :29 PM ページ 2 2 3

Oracle_for_SAP :29 PM ページ 2 2 3 Oracle_for_SAP のコピー 04.5.28 0:55 PM ページ 1 Oracle for SAP Release Matrix Oracle for SAP Release Matrix SAP R/3 Version 3.1I, 4.0B, 4.5B, 4.6B: 8.1.7 32-bit: Intel NT/Windows2000/XP, Intel Linux, IBM AIX,

More information

MSAC-US40

MSAC-US40 3-197-477-12(1) USB / MSAC-US40 b 2 MSAC-US40 3 VCCI B Program 2005, 2007 Sony Corporation Documentation 2007 Sony Corporation Memory Stick MagicGate Memory Stick Memory Stick Duo MagicGate Memory Stick

More information

IT 1. IT 2. 2.1. IT 2.2. SKYSEA Client View Government License Light Edition Sky 1500 28 2 15 12 3. 4. 28 3 25 1 5. 5.1. (1) 28 4 1 (2) (3) (4) ISO27001 P (5) ISO/IEC20000 (6) USB 1 (7) OS (8) 1 CPU 4

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

第1章 製薬産業を取り巻く環境変化

第1章 製薬産業を取り巻く環境変化 2015 2007 5 1 2 2015 2007 5 103-0023 3-4-1 5F TEL : 03-5200-2681 FAX : 03-5200-2684 E-mail : sasabayashi-opir@jpma.or.jp URL : http://www.jpma.or.jp/opir/ 3 4 5 6 1 2 3 4 1 2 3 4 5 6 7 8 9 10 11 2013 2014

More information

SAP Solution in Detail SAP Business One SAP Business One 1 SAP Business One 5 SAP Business One 5 1 5 5 5 6 6 SAP Business One 6 / 6 / 6 / 7 / 7 / 7 / 7 SAP Business One 8 8 9 9 CRM 9 CRM 10 10 SAP Business

More information

Microsoft Word コンピュータ関連知識

Microsoft Word コンピュータ関連知識 (1) 4 1. (supercomputer) 2. (mainframe) (large scale computer) (general purpose computer)] 3. (minicomputer) (midrange computer) Workstation,WS 4. (Personal Computer, PC) 24 room-size computer mainframe

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

IEEE e

IEEE e 2007 IEEE 802.11e LAN VoIP 2008 2 4 3606U075-2 1 5 1.1...................................... 5 1.2...................................... 5 1.3..................................... 6 2 IEEE 802.11e LAN

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

スライド 1

スライド 1 IBM Global Technology Services PCI DSS ITS IAS. IAS. 2I/T 1PCIDSS 2 2 PCI DSS QSA PCIDSS Fi Gap IBM PCIDSS IBM PCIDSS QSA QSA PCIDSS ROC* 1/ * ROC: Report on Compliance 3 PCI DSS 4 PCIDSS PCIDSS 1. PCIDSS

More information

〔ものづくり紀行 第三十六回〕

〔ものづくり紀行 第三十六回〕 ISSN 1347-4448 ISSN 1348-5504 8 8 (2009 8 ) E-mail: tajinoriko@nifty.com 1. 1.1. 1938 Hewlett-Packard 1950 60 1970 80 Apple Oracle IT 1990 Netscape Google 2006 2009 1.2. 2006 2,357 40 17% 13 6 4 1 260

More information

取扱説明書 [L-07A]

取扱説明書 [L-07A] ISSUE DATE: 09.09 L-07A NAME: PHONE NUMBER: MAIL ADDRESS: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 17 a b d c a b c d 18 19 a a b c a a a b c b a a b c a c b c a b b a b 20 c a b a b 21 22 23 a b 24 a b 25

More information

untitled

untitled 2005 2 1 105-0004 5-34-3 Tel: 03-3431-4002 Fax: 03-3431-4044 1 SRL/ISTEC 1 1 SFQ SFQ SFQ 2004 9 4 SFQ SFQ / LSI 269 230 230 230 269 230 SFQ SFQ 2005 2 ISTEC 2005 All rights reserved. - 1 - 2005 2 1 105-0004

More information

応用統計セミナー公開用( KA).pptx

応用統計セミナー公開用( KA).pptx !! Yahoo Japan Corporation, Kaz Ataka 2015, 1. 2. 3. 4. Yahoo Japan Corporation, Kaz Ataka 2015, 85 90 95 2000 2005 2010 Yahoo Japan Corporation, Kaz Ataka 2015, FTTH 4G 3.9G ISDN ADSL 3G 3.5G Yahoo Japan

More information

untitled

untitled PC murakami@cc.kyushu-u.ac.jp muscle server blade server PC PC + EHPC/Eric (Embedded HPC with Eric) 1216 Compact PCI Compact PCIPC Compact PCISH-4 Compact PCISH-4 Eric Eric EHPC/Eric EHPC/Eric Gigabit

More information

AV 1000 BASE-T LAN 90 IEEE ac USB (3 ) LAN (IEEE 802.1X ) LAN AWS (Amazon Web Services) AP 3 USB wget iperf3 wget 40 MBytes 2 wget 40 MByt

AV 1000 BASE-T LAN 90 IEEE ac USB (3 ) LAN (IEEE 802.1X ) LAN AWS (Amazon Web Services) AP 3 USB wget iperf3 wget 40 MBytes 2 wget 40 MByt 1 BYOD LAN 1 2 3 4 1 BYOD 1 Gb/s LAN BYOD LAN LAN Access Point (AP) IEEE 802.11n BYOD LAN AP wget iperf3 1 AP [2] 2 IEEE 802.11ac [3] AP 4 AV (207 m 2 ) ( 1 2 )[4, 5] AP Wave2 Aruba AP-335 Aruba LAN 7210

More information

Copyright 2001 by Junichi Sawase

Copyright 2001 by Junichi Sawase JAIST Reposi https://dspace.j Title 知識コミュニティを活性化するインフォーマルコミュ ニケーションツールの開発 Author(s) 澤瀬, 順一 Citation Issue Date 2001-03 Type Thesis or Dissertation Text version author URL http://hdl.handle.net/10119/716

More information

GA-1190J

GA-1190J GA-1190J 1 1. 2. 3. 4. 2 5. 3 PDF 4 PDF PDF PDF PDF PDF PDF PDF PDF 5 6 ...1...2...4 1...12 2...16...18 3...22 PCL/PS...23 4...26 5...30 ETHERNET...31 TCP/IP...33 IPX/SPX...38 AppleTalk...40 HTTP...42

More information

wpEnterpriseSvr.doc

wpEnterpriseSvr.doc COBOLJava.NETWeb IT COBOL Micro Focus Enterprise Server Enterprise Server COBOL Enterprise Server COBOL Enterprise Server COBOL COBOL COBOL Java.NET IT Micro Focus COBOLJ2EE.NET Web COBOL Enterprise Server

More information

“‡fi¡

“‡fi¡ 133 148 1997 The Network Integrated Multimedia Information Education System of Tokyo Kasei Gakuin Tsukuba Women's University Junior College Department of Information Science. Kiyomi TAKATO 1996 LAN WWW

More information

/7 Humanware ConsultingK.K 2

/7 Humanware ConsultingK.K 2 21 HP 21 2000/7 Humanware ConsultingK.K 1 1. 2000/7 Humanware ConsultingK.K 2 20 21 IT 2000/7 Humanware ConsultingK.K 3 2000/7 Humanware ConsultingK.K 4 4 2000/7 Humanware ConsultingK.K 5 1970-1980 1980

More information

EPSON ES-D200 パソコンでのスキャンガイド

EPSON ES-D200 パソコンでのスキャンガイド NPD4271-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...13 EPSON Scan...13 EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 Epson Event Manager...16 Epson Event Manager...16 Epson Event Manager...16

More information

SSD Solid-State Drive PC USB PC / PC [1] 3 [2] 2009 NEC/ [3] 2010 PC 2011 PC AMD Fusion APU [4] PC CPU Ivy Bridge /

SSD Solid-State Drive PC USB PC / PC [1] 3 [2] 2009 NEC/ [3] 2010 PC 2011 PC AMD Fusion APU [4] PC CPU Ivy Bridge / White Paper SoC 2012 5 Author Gervais Fong Senior Product Marketing Manager, Synopsys, Inc. Eric Huang Senior Product Marketing Manager, Synopsys, Inc. USB Universal Serial Bus20 USB 10 480Mbps USB 2.0

More information

Cisco 1711/1712セキュリティ アクセス ルータの概要

Cisco 1711/1712セキュリティ アクセス ルータの概要 CHAPTER 1 Cisco 1711/1712 Cisco 1711/1712 Cisco 1711/1712 1-1 1 Cisco 1711/1712 Cisco 1711/1712 LAN Cisco 1711 1 WIC-1-AM WAN Interface Card WIC;WAN 1 Cisco 1712 1 ISDN-BRI S/T WIC-1B-S/T 1 Cisco 1711/1712

More information

SmartLMSユーザーズガイド<講師編>

SmartLMSユーザーズガイド<講師編> SmartLearning Management System SmartLMS (1) (2) (3) (4) (3) (5) Microsoft MS PowerPoint DirectX Windows Windows NT Windows Media Microsoft Corporation Intel Pentium Intel Corporation NEC 2003-2004 NEC

More information

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Hans J. MATTAUSCH, and Tetsushi KOIDE 1 1 2 0.5 µm CMOS

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

IPSJ SIG Technical Report Vol.2013-ARC-206 No /8/1 Android Dominic Hillenbrand ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GP

IPSJ SIG Technical Report Vol.2013-ARC-206 No /8/1 Android Dominic Hillenbrand ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GP Android 1 1 1 1 1 Dominic Hillenbrand 1 1 1 ODROID-X2 GPIO Android OSCAR WFI 500[us] GPIO GPIO API GPIO API GPIO MPEG2 Optical Flow MPEG2 1PE 0.97[W] 0.63[W] 2PE 1.88[w] 0.46[W] 3PE 2.79[W] 0.37[W] Optical

More information

/

/ / CoMET とは? APPLICATIONS IP -PROCESSOR IP Application Programs (C/C++) Reactive Operating System Kernels PROPRIETARY OPERATING SYSTEM IP BUS, CACHE, TLB IP SOFTWARE TEST SETS Interactive Software Debugging

More information

[1] [2] [3] (RTT) 2. Android OS Android OS Google OS 69.7% [4] 1 Android Linux [5] Linux OS Android Runtime Dalvik Dalvik UI Application(Home,T

[1] [2] [3] (RTT) 2. Android OS Android OS Google OS 69.7% [4] 1 Android Linux [5] Linux OS Android Runtime Dalvik Dalvik UI Application(Home,T LAN Android Transmission-Control Middleware on multiple Android Terminals in a WLAN Environment with consideration of Round Trip Time Ai HAYAKAWA, Saneyasu YAMAGUCHI, and Masato OGUCHI Ochanomizu University

More information

ST 1 MOS MOS 1 1 2 8 1 CMOS CMOS 7mm3mm LSI 10 SSIS

ST 1 MOS MOS 1 1 2 8 1 CMOS CMOS 7mm3mm LSI 10 SSIS No.28 1 SSIS 5 244 38 1600 SSIS 53 2 SSIS Human Net Work Knowledge Chain 3 11 1 SSIS OB SSIS 1 NoSide 2 5 2003 7 RCA 9 11 12 13 ST 1 MOS MOS 1 1 2 8 1 CMOS CMOS 7mm3mm LSI 10 SSIS 21 GM RCA IBM GE WE 20

More information

Oracle XML DB によるスケーラビリティおよびパフォーマンス検証 - MML v.3.0

Oracle XML DB によるスケーラビリティおよびパフォーマンス検証 - MML v.3.0 Oracle XML DB MML v3.0 2004 5 27 1 Memo 1 Agenda XML MML v3.0 2 Oracle XML Oracle XML DB XML API Oracle XML DB W3C XML Schema 1.0 XPath 1.0 XSLT 1.0 Oracle W3C XML Schema Oracle 2 XML Oracle XML Developer

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

NW-E062 / E063 / E062K/ E063K

NW-E062 / E063 / E062K/ E063K / FM / 112 NW-E062 / E063 / E062K/ E063K 59 70 1 USB USB / MD CD MD CD 111 x- x- 112 NW-E062 / E063 / E062K/ E063K / FM / 112 NW-E062 / E063 / E062K/ E063K / FM / 112 MD CD MD CD x- CD 29 31 47 52 111

More information

untitled

untitled 4 1 4.1................................................. 1 4.1.1........................................ 1-1 4 17 11 30 4.1 2001 49% 2,400 47% 6,000 2001 390 8% 2005 3000 1000 IT 1 ADSL(Asymmetric Digital

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

VNXe3100 ハードウェア情報ガイド

VNXe3100 ハードウェア情報ガイド EMC VNXe VNXe300 P/N 300-02-289 03 Copyright 202 EMC Corporation. All rights reserved. 202 0 EMC Corporation EMC Corporation EMC EMC 2 EMC EMC EMC Corporation EMC Web 2 EMC VNXe300 VNXe300...... 2... 2...

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

SANworks

SANworks 2002 1 15 1 2002 1 MA8000/EMA12000 RAID MA8000/EMA12000 SAN SAN SAN (HSG ) HSG80/60 SAN RAID Compaq Insight FRU Web 189715-001 GBIC-SW 1 (2m) 234457-B21 (5m) 234457-B22 (15m) GBIC-SW 1 380561-B21 (Fabric

More information

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 1 2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 CPU 1 1 2 2 n CPU SRAM DRAM CPU 3 4 5 6 7 N+ N+ P SRAM DRAM 8 Computer Architecture 9 DRAM 3 4 10 11 Ta 2

More information

GV-D1000

GV-D1000 3-072-571-02 (2) 3 6 11 22 47 79 86 130 139 151 GV-D1000 2002 Sony Corporation DV DVDV DV 39 42 38 28 35 142 DV 99.99 VCCI B 2 3 11 18 92 94 102 105 62 USB Windows 69 USB 107 22 24 121 28 45 26 27 38 49

More information

konicaminolta.co.jp PageScope Net Care

konicaminolta.co.jp PageScope Net Care konicaminolta.co.jp PageScope Net Care KONICA MINOLTA PageScope Net Care KONICA MINOLTA PageScope Net Care Web KONICA MINOLTA PageScope Net Care SNMP KONICA MINOLTA Printer-MIB KONICA MINOLTA PageScope

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information