23 VLSI IEEE Circuits and Systems Society Japan ChapterIEEE Signal Processing Society Japan Chapter DFM ()MPSoc A 5 VLSI 23 ()

Size: px
Start display at page:

Download "23 VLSI IEEE Circuits and Systems Society Japan ChapterIEEE Signal Processing Society Japan Chapter 62 62 15 DFM ()MPSoc A 5 VLSI 23 ()"

Transcription

1 第 23 回 回路とシステム軽井沢ワークショップ プログラム 2010 年 4 月 19 日 (月), 20 日 (火) 於 軽井沢プリンスホテル ウエスト 国際会議場 浅間 主催 協賛 電子情報通信学会 システムと信号処理サブソサイエティ 回路とシステム研究専門委員会 VLSI 設計技術研究専門委員会 信号処理研究専門委員会 コンカレント工学研究専門委員会 非線形理論とその応用サブソサイエティ 非線形問題研究専門委員会 IEEE Circuits and Systems Society, Japan Chapter IEEE Signal Processing Society, Japan Chapter 電気学会 電子回路研究専門委員会 電子情報通信学会 スマートインフォメディアシステム研究専門委員会

2 23 VLSI IEEE Circuits and Systems Society Japan ChapterIEEE Signal Processing Society Japan Chapter DFM ()MPSoc A 5 VLSI 23 ()

3 () [: ] A Ba Bd C D PLL 9:00-10:15 8:50-10:10 9:00-10:00 [] 10:35-12:15 10:30-12:10 10:45-12:05 [] (1) 10:45-12:00 10:30-11:30 13:30-14:45 [] 15:15-16:55 [] CMOS 13:30-16:45 [] ( ) 13:25-14:45 [] 15:15-16:55 [] (2) 13:30-14:45 15:00-15:50 17:30-17:40 [: ] : () 17:40-18:40 [: ] 18:40-20:40 [: ] [] 13:00-14:30 [] 15:00-17: () [: ] A Ba Bd C D 9:15-10:15 ADC 9:00-10:15 8:55-10:15 9:25-10:15 10:35-12:15 10:30-11:45 10:45-12:05 [] 10:30-12:00 9:00-10:00 10:30-12:30 23 () () () () () ( () () () () ) () () () () () () () () () () () () ( () () ) () (NEC) (NTT) () () () () () () () () () () () () () () () ( IBM) () () () () () () () () () (NEC) () () ( () () () ) () () () () () () () () () () () () () () () () () ()

4 () A1-2 [] (10:35-12:15) : (Univ./ETH Zurich) [] PSO , () N-N ,, () , () A1-3 (13:30-14:45) : () ,, () Conservation Operator in Micro-electromagnetic Field, and Its Macro-expression by the Optimum Approximation Aimed at Iterative Design of Nonlinear Composite Materials Yuichi Kida (Ohu Univ.), Takuro Kida (Prof. Emeritus of Tokyo Inst. of Tech.) Circuit Analysis Technique Using Random Walk Method , () A1-4 [] (15:15-16:55) : () [] () FPGA , () , ()

5 () Ba1-1 PLL (9:00-10:15) : () PLL , () TDC ,, () TDC PLL , () Ba1-2 (10:30-12:10) : () MOSFET - E ,, (), (), Marian K. Kazimierczuk (Wright State Univ.) 2 MOS ,,, () 0.5V ,,,, () , (),, () Ba1-3 [] CMOS (13:30-16:45) : () [] CMOS ()

6 () Bd1-1 (8:50-10:10) : () The Optimum Discrete Signal Estimation of a Slightly Non-linear Filter Bank Including Running-type Approximation Yuichi Kida (Ohu Univ.), Takuro Kida (Prof. Emeritus of Tokyo Inst. of Tech.) CSD FIR , () , () () Bd1-2 (10:45-12:05) : () Improving Performance of Hybrid Active Noise Control Systems for Narrowband Periodic Disturbances Muhammad Tahir Akhtar, Wataru Mitsuhashi (Univ. of Electro-Communications) ,, () ,, (), () HOG ,, () Bd1-3 [] () (13:25-14:45) : () ,,, () ,,, () ,,, () Particle Swarm Optimization CSD FIR ,, () Bd1-4 [] (15:15-16:55) : () [] () [] ()

7 () C1-2 [] (1) (10:45-12:00) : () , () [] LSI ,, () C1-3 [] (2) (13:30-14:45) : () , () [] () C1-4 (15:00-15:50) : () RSA ,,,, () SRAM ,,, ()

8 () D1-1 (9:00-10:00) : () AGV , () ,, () D1-2 (10:30-11:30) : () p , () 8 20 Rolling Dice Puzzle NP (), () D1-3 [] (13:00-14:30) : () [] () [] IV&V (JAXA), () D1-4 [] (15:00-17:00) : () [] () [] CUDA Implementation of Iterative Updating: the Radix-2 Algorithm and Discrete Fourier Transforms. 244 Mikael Onsjö, Kenta Kasai, Osamu Watanabe (Tokyo Inst. of Tech.) [] GPU , () [] On the Scalability of Parallel Best First Search Akihiro Kishimoto, Alex Fukunaga (Tokyo Inst. of Tech.), Adi Botea (NICTA / Australian National Univ.)

9 () SP (17:40-18:40) [] () : ()

10 () A2-1 (9:15-10:15) : () Explicit Effective Capacitance Model for CMOS Gate with Interconnect Load Minglu Jiang (Waseda Univ.), Zhangcai Huang (Fukuoka IST), Atsushi Kurokawa (SANYO Electric Co., Ltd), Yasuaki Inoue (Waseda Univ.) GPGPU , () A2-2 (10:35-12:15) : () A Chaos Associative Memory with Tchebycheff Activation Function Masahiro Nakagawa (Nagaoka Univ. of Tech.) Volterra FIlter ,, () , () (Univ./ETH Zurich), (), Ruedi Stoop (Univ./ETH Zurich)

11 () Ba2-1 ADC (9:00-10:15) : () SAR ADC ,,,,,, () (),,,, () Large Input-Scale Delta-Sigma AD Modulator with Error-Feedforward Architecture Takumi Shimizu, Hao San, Masao Hotta () Ba2-2 (10:30-11:45) : () 2 DC-DC ,,,,,,, Santhos A. Wibowo,, (),,,, ( ) A Low Voltage CMOS Rectifier for Low Power Battery-less Devices Qiang Li (Waseda Univ.), Zhangcai Huang (Fukuoka IST), Minglv Jiang, Renyuan Zhang, Yasuaki Inoue (Waseda Univ.) An Efficient Charge Pump Based on Cockcroft-Walton Structure Renyuan Zhang, Qiang Li (Waseda Univ.), Zhangcai Huang (Fukuoka IST), Minglv Jiang, Yasuaki Inoue (Waseda Univ.)

12 () Bd2-1 (8:55-10:15) : () FFT 322,, ( IBM) ,,,,,,, ( ), () ,,,, () ,,, (), () Bd2-2 (10:45-12:05) : () , (), (), () H.264/AVC , () X ,, (), () , (), (), (), ( )

13 () C2-1 (9:25-10:15) : () RTOS TCP/IP (),, () ,, () C2-2 [] (10:30-12:00) : (NEC) [] GPU () [] SI/PI/EMI ()

14 () D2-1 (9:00-10:00) : () On Parallel Degree of Well-Structured Workflow Nets , () ,, () D2-2 (10:30-12:30) : () 2 ( + 1) , (), (), () Cayley () Heuristic Extraction of a Maximum Induced Tree from a Graph ,,, () (NTT), ()

15 A O Akhtar, Muhammad Tahir p. 115 (Bd1-2-1) Onsjö, Mikael p. 244 (D1-4-2) B S Botea, Adi p. 255 F (D1-4-4) San, Hao p. 301 Shimizu, Takumi p. 301 Stoop, Ruedi p. 285 (Ba2-1-3) (Ba2-1-3) (A2-2-4) Fukunaga, Alex p. 255 H Hotta, Masao p. 301 Huang, Zhangcai p. 257 Huang, Zhangcai p. 312 Huang, Zhangcai p. 316 I Inoue, Yasuaki p. 257 Inoue, Yasuaki p. 312 Inoue, Yasuaki p. 316 J Jiang, Minglu p. 257 Jiang, Minglv p. 312 Jiang, Minglv p. 316 K (D1-4-4) (Ba2-1-3) (A2-1-1) (Ba2-2-2) (Ba2-2-3) (A2-1-1) (Ba2-2-2) (Ba2-2-3) (A2-1-1) (Ba2-2-2) (Ba2-2-3) W Watanabe, Osamu p. 244 Wibowo, Santhos A p. 306 Z Zhang, Renyuan p. 312 Zhang, Renyuan p p p p p p p p p. 149 (D1-4-2) (Ba2-2-2) (Ba2-2-3) (SP-1) (C2-2-1) (Bd2-2-3) (D1-4-3) (C2-2-2) (Ba2-1-2) (D2-2-4) (Bd1-3-2) (Bd1-3-3) Kasai, Kenta p. 244 Kazimierczuk, Marian K p. 67 Kida, Takuro p. 23 Kida, Takuro p. 95 Kida, Yuichi p. 23 Kida, Yuichi p. 95 Kishimoto, Akihiro p. 255 Kurokawa, Atsushi p. 257 L Li, Qiang p. 312 Li, Qiang p. 316 M Mitsuhashi, Wataru p. 115 N Nakagawa, Masahiro p. 269 (D1-4-2) (Ba1-2-1) (A1-3-2) (Bd1-1-1) (A1-3-2) (Bd1-1-1) (D1-4-4) (A2-1-1) (Ba2-2-2) (Ba2-2-3) (Bd1-2-1) (A2-2-1) p p p p p p p p p p p p p p p p p. 334 (Bd1-2-2) (C1-4-2) (Bd1-2-3) (D2-1-2) (C2-1-1) (Ba1-2-4) (Bd2-2-2) (Bd2-2-3) (Ba2-1-1) (D2-1-2) (A1-2-2) (Ba1-1-2) (Ba1-1-3) (C1-3-1) (Bd2-1-3)

16 p p p p p p p. 285 (D1-2-2) (A1-3-1) (Bd2-2-4) (Ba2-1-1) (D1-3-2) (Bd1-3-1) (A2-2-4) p p p p p p. 295 (Bd2-1-3) (Bd1-2-3) (D2-1-1) (Bd1-3-2) (Ba1-2-1) (Ba2-1-2) p p p p p p p p p p p p p p p p. 328 (A2-2-3) (Ba1-2-4) (Bd2-1-2) (Bd1-1-3) (C1-4-1) (Bd2-1-2) (Bd2-2-1) (Bd2-1-1) (D1-2-2) (A1-4-3) (Ba2-1-1) (D2-2-1) (Ba1-2-3) (A1-4-2) (Bd2-1-2) p p p p p p p p p p p p p p p p p p. 79 (D1-1-1) (Bd1-3-2) (Bd1-3-3) (Bd2-2-3) (Bd1-3-4) (Ba2-1-1) (Ba2-1-2) (Bd2-2-4) (A1-2-2) (A1-4-3) (Bd2-1-2) (C1-4-1) (A2-2-2) (Bd2-1-4) (D1-3-1) (Bd2-2-1) (Ba1-2-3) p p p p p p p p p p p p p p p p p. 173 (Bd2-1-1) (C1-3-1) (Bd2-1-2) (A1-2-2) (A1-2-3) (Bd1-1-3) (A2-2-2) (A1-2-3) (A2-2-2) (D2-1-2) (Bd1-3-2) (Bd1-3-3) (Bd1-2-2) (C1-2-1) (Ba1-2-1) (Bd1-2-4) (C1-2-1) p p p p p p p p p p p p. 67 (A1-2-1) (A1-2-1) (Ba1-2-1) (D1-4-3) (A1-3-3) (Bd2-1-2) (Bd2-2-4) (Bd1-1-2) (Bd1-3-1) (Bd1-3-4) (Bd1-3-1) (Ba1-2-1)

17 p p p p p p p p p p p p p p p p p p p p p p. 289 (Bd1-3-1) (D1-1-2) (D2-2-1) (D2-2-3) (Ba2-1-1) (Bd2-1-1) (Bd2-1-3) (Bd1-1-2) (Bd1-3-4) (Ba1-2-3) (D2-2-3) (Bd1-2-3) (Ba1-2-2) (Ba1-2-4) (Bd1-1-4) (Ba2-1-2) (Ba1-3-1) (A1-3-1) (Ba2-1-1) p p p p p p p p p p p p p p p. 221 (C2-1-2) (D1-1-2) (Bd2-1-4) (Bd1-2-3) (Bd2-1-4) (D2-2-4) (Ba1-2-3) (Bd2-2-3) (C1-4-2) (Bd2-1-3) (Bd2-1-4) (Bd2-2-2) (Ba1-2-2) (D1-2-1) p p p p p p p p p p p p. 73 (Bd2-2-4) (A1-4-1) (C1-4-1) (Bd2-1-2) (C1-4-2) (Bd2-1-2) (Bd1-4-1) (Bd2-2-1) (C1-4-1) (A2-2-4) (Ba1-2-2) p p p p p p p p p p p p p p p p p p p p p p. 29 (A2-1-2) (D2-2-1) (D1-1-1) (C1-4-2) (Ba1-2-3) (C1-3-2) (Bd2-1-3) (D1-3-2) (Ba1-2-2) (Ba1-1-1) (Ba1-1-2) (Ba1-1-3) (Ba1-1-1) (C2-1-1) (C1-2-2) (A2-2-3) (Ba2-1-2) (Ba1-2-4) (Bd1-3-3) (A1-3-3)

18 p p p p. 131 (Bd1-4-2) (Bd2-2-1) (Bd1-2-4) p p p p p p. 376 (Ba2-1-1) (C1-2-2) (D2-2-2) (D1-2-1) (A1-3-1) (C2-1-2) p p p p p p p p p p. 392 (C2-1-2) (Bd2-1-4) (C1-2-2) (A1-4-2) (C2-1-1) (Bd1-2-2) (C1-4-1) (Bd2-2-4) (Bd2-1-2) (D2-1-1) p p p p p p p p p. 131 (D2-2-3) (D1-4-1) (A2-1-2) (D1-1-2) (D2-2-1) (D2-2-3) (Ba1-1-2) (Bd1-2-4)

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

FINAL PROGRAM 22th Annual Workshop SWoPP / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing

FINAL PROGRAM 22th Annual Workshop SWoPP / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing FINAL PROGRAM 22th Annual Workshop SWoPP 2009 2009 / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2009 8 4 ( ) 8 6 ( ) 981-0933 1-2-45 http://www.forestsendai.jp

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

sumi.indd

sumi.indd S/N S/N CCDCMOS CCD CMOS & E-mail hirofumi.sumi@jp.sony.com & E-mail Tadakuni.Narabu@jp.sony.com & E-mail Shinichiro.Saito@jp.sony.com Hirofumi SUMI, Non - Member and Tadakuni NARABU, Member and Shinichiro

More information

2. Eades 1) Kamada-Kawai 7) Fruchterman 2) 6) ACE 8) HDE 9) Kruskal MDS 13) 11) Kruskal AGI Active Graph Interface 3) Kruskal 5) Kruskal 4) 3. Kruskal

2. Eades 1) Kamada-Kawai 7) Fruchterman 2) 6) ACE 8) HDE 9) Kruskal MDS 13) 11) Kruskal AGI Active Graph Interface 3) Kruskal 5) Kruskal 4) 3. Kruskal 1 2 3 A projection-based method for interactive 3D visualization of complex graphs Masanori Takami, 1 Hiroshi Hosobe 2 and Ken Wakita 3 Proposed is a new interaction technique to manipulate graph layouts

More information

Real AdaBoost HOG 2009 3 A Graduation Thesis of College of Engineering, Chubu University Efficient Reducing Method of HOG Features for Human Detection based on Real AdaBoost Chika Matsushima ITS Graphics

More information

2007 10 20 () [: ] 1 1 102 2. -(1) 10:30-11:48 2. -(2) 13:00-14:31 2 1 103 3 2 218 4 1 104 5 1 106 6 1 107 7 2 219 8 1 108 9 1 110 10 1 111 11 2 220 1

2007 10 20 () [: ] 1 1 102 2. -(1) 10:30-11:48 2. -(2) 13:00-14:31 2 1 103 3 2 218 4 1 104 5 1 106 6 1 107 7 2 219 8 1 108 9 1 110 10 1 111 11 2 220 1 RENTAI2007 58 200710 20() 1-4-1 2007 10 20 () [: ] 1 1 102 2. -(1) 10:30-11:48 2. -(2) 13:00-14:31 2 1 103 3 2 218 4 1 104 5 1 106 6 1 107 7 2 219 8 1 108 9 1 110 10 1 111 11 2 220 12 1 112 13 1 114 14

More information

7th埼玉オープン.pm6

7th埼玉オープン.pm6 6 7 6 7 6-0 6 7 0 6-6 -0 7-0 -7 8 8 - - 9 0 0 - -8 9 0-6 -8 0 8- -7-6 6-0 -7 7 0 8-8 (by Mitsuhashi) 6 0- -8 6 7 8 6 7 9 0-8 8 9 0 7 6 8 9-8 6-8 0 7-7 - 8 9 0 - -0 7 6 6-7 - - - 9- -6 9 0 8-8 -0 - -7-7

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

book0403

book0403 5 17 () T11 1 10:30 11:15 Tacit learning 11:30 12:15 T12 2 (1) () 10:30 10:45 (1): 10:45 11:00 (2): 12:00 12:15 T13 3 ( ) 10:30 10:45 PID 10:45 11:00 FRIT PFC Sirish L. Shah PID PID FRIT DC NCbT H One-shot

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133 A Study of Adaptive Array Implimentation for mobile comunication in cellular system 15 1 31 01GD133 LSI DSP CMA 10km/s i 1 1 2 LS-CMA 5 2.1 CMA... 5 2.1.1... 5 2.1.2... 7 2.1.3... 10 2.2 LS-CMA... 13 2.2.1...

More information

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro TV 1,2,a) 1 2 2015 1 26, 2015 5 21 Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Rotation Using Mobile Device Hiroyuki Kawakita 1,2,a) Toshio Nakagawa 1 Makoto Sato

More information

非線形長波モデルと流体粒子法による津波シミュレータの開発 I_ m ρ v p h g a b a 2h b r ab a b Fang W r ab h 5 Wendland 1995 q= r ab /h a d W r ab h

非線形長波モデルと流体粒子法による津波シミュレータの開発 I_ m ρ v p h g a b a 2h b r ab a b Fang W r ab h 5 Wendland 1995 q= r ab /h a d W r ab h 土木学会論文集 B2( 海岸工学 ) Vol. 70, No. 2, 2014, I_016-I_020 非線形長波モデルと流体粒子法による津波シミュレータの開発 Development of a Tsunami Simulator Integrating the Smoothed-Particle Hydrodynamics Method and the Nonlinear Shallow Water

More information

Fig. 1 Relative delay coding.

Fig. 1 Relative delay coding. An Architecture of Small-scaled Neuro-hardware Using Probabilistically-coded Pulse Neurons Takeshi Kawashima, Non-member (DENSO CORPORATION), Akio Ishiguro, Member (Nagoya University), Shigeru Okuma, Member

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

Fig. 2 Signal plane divided into cell of DWT Fig. 1 Schematic diagram for the monitoring system

Fig. 2 Signal plane divided into cell of DWT Fig. 1 Schematic diagram for the monitoring system Study of Health Monitoring of Vehicle Structure by Using Feature Extraction based on Discrete Wavelet Transform Akihisa TABATA *4, Yoshio AOKI, Kazutaka ANDO and Masataka KATO Department of Precision Machinery

More information

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig Mover Design and Performance Analysis of Linear Synchronous Reluctance Motor with Multi-flux Barrier Masayuki Sanada, Member, Mitsutoshi Asano, Student Member, Shigeo Morimoto, Member, Yoji Takeda, Member

More information

1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15. 1. 2. 3. 16 17 18 ( ) ( 19 ( ) CG PC 20 ) I want some rice. I want some lice. 21 22 23 24 2001 9 18 3 2000 4 21 3,. 13,. Science/Technology, Design, Experiments,

More information

IPSJ SIG Technical Report 1, Instrument Separation in Reverberant Environments Using Crystal Microphone Arrays Nobutaka ITO, 1, 2 Yu KITANO, 1

IPSJ SIG Technical Report 1, Instrument Separation in Reverberant Environments Using Crystal Microphone Arrays Nobutaka ITO, 1, 2 Yu KITANO, 1 1, 2 1 1 1 Instrument Separation in Reverberant Environments Using Crystal Microphone Arrays Nobutaka ITO, 1, 2 Yu KITANO, 1 Nobutaka ONO 1 and Shigeki SAGAYAMA 1 This paper deals with instrument separation

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

EH意見交換会_ishihara.pptx

EH意見交換会_ishihara.pptx 2 2-9D @23 @@CCC:9 44 9D @232 2! " "! " "! 1 2! " "! " "! 3!? " MPEG4 " 15% Hojun Shim, Youngjin Cho and Naehyuck Chang, "Power Saving in Hand-held Multimedia Systems Using MPEG-21 Digital Item Adaptation,"

More information

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IWASE Nobukazu TAKAI Haruo KOBAYASHI Takahiro ODAGUCHI

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

2 Poisson Image Editing DC DC 2 Poisson Image Editing Agarwala 3 4 Agarwala Poisson Image Editing Poisson Image Editing f(u) u 2 u = (x

2 Poisson Image Editing DC DC 2 Poisson Image Editing Agarwala 3 4 Agarwala Poisson Image Editing Poisson Image Editing f(u) u 2 u = (x 1 Poisson Image Editing Poisson Image Editing Stabilization of Poisson Equation for Gradient-Based Image Composing Ryo Kamio Masayuki Tanaka Masatoshi Okutomi Poisson Image Editing is the image composing

More information

2.R R R R Pan-Tompkins(PT) [8] R 2 SQRS[9] PT Q R WQRS[10] Quad Level Vector(QLV)[11] QRS R Continuous Wavelet Transform(CWT)[12] Mexican hat 4

2.R R R R Pan-Tompkins(PT) [8] R 2 SQRS[9] PT Q R WQRS[10] Quad Level Vector(QLV)[11] QRS R Continuous Wavelet Transform(CWT)[12] Mexican hat 4 G-002 R Database and R-Wave Detecting System for Utilizing ECG Data Takeshi Nagatomo Ikuko Shimizu Takeshi Ikeda Akio Sashima Koichi Kurumatani R R MIT-BIH R 90% 1. R R [1] 2 24 16 Tokyo University of

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

2 Fig D human model. 1 Fig. 1 The flow of proposed method )9)10) 2.2 3)4)7) 5)11)12)13)14) TOF 1 3 TOF 3 2 c 2011 Information

2 Fig D human model. 1 Fig. 1 The flow of proposed method )9)10) 2.2 3)4)7) 5)11)12)13)14) TOF 1 3 TOF 3 2 c 2011 Information 1 1 2 TOF 2 (D-HOG HOG) Recall D-HOG 0.07 HOG 0.16 Pose Estimation by Regression Analysis with Depth Information Yoshiki Agata 1 and Hironobu Fujiyoshi 1 A method for estimating the pose of a human from

More information

EGunGPU

EGunGPU Super Computing in Accelerator simulations - Electron Gun simulation using GPGPU - K. Ohmi, KEK-Accel Accelerator Physics seminar 2009.11.19 Super computers in KEK HITACHI SR11000 POWER5 16 24GB 16 134GFlops,

More information

P. 2 P. 4 P. 5 P. 6 P. 7 P. 9 P P.11 P.13 P.15 P.16 P.17 P.17 P.18 P.20 P.21 P.23 P P P P P P P P.31

P. 2 P. 4 P. 5 P. 6 P. 7 P. 9 P P.11 P.13 P.15 P.16 P.17 P.17 P.18 P.20 P.21 P.23 P P P P P P P P.31 201729 3 2017 5 15 P. 2 P. 4 P. 5 P. 6 P. 7 P. 9 P.10 2017 P.11 P.13 P.15 P.16 P.17 P.17 P.18 P.20 P.21 P.23 P.24 10 P.25 11 P.27 12 P.28 13 P.29 14 P.29 15 P.30 16 P.31 17 P.32 P.34 P.35 Copyright 2017

More information

2.2 (a) = 1, M = 9, p i 1 = p i = p i+1 = 0 (b) = 1, M = 9, p i 1 = 0, p i = 1, p i+1 = 1 1: M 2 M 2 w i [j] w i [j] = 1 j= w i w i = (w i [ ],, w i [

2.2 (a) = 1, M = 9, p i 1 = p i = p i+1 = 0 (b) = 1, M = 9, p i 1 = 0, p i = 1, p i+1 = 1 1: M 2 M 2 w i [j] w i [j] = 1 j= w i w i = (w i [ ],, w i [ RI-002 Encoding-oriented video generation algorithm based on control with high temporal resolution Yukihiro BANDOH, Seishi TAKAMURA, Atsushi SHIMIZU 1 1T / CMOS [1] 4K (4096 2160 /) 900 Hz 50Hz,60Hz 240Hz

More information

2003/3 Vol. J86 D II No.3 2.3. 4. 5. 6. 2. 1 1 Fig. 1 An exterior view of eye scanner. CCD [7] 640 480 1 CCD PC USB PC 2 334 PC USB RS-232C PC 3 2.1 2

2003/3 Vol. J86 D II No.3 2.3. 4. 5. 6. 2. 1 1 Fig. 1 An exterior view of eye scanner. CCD [7] 640 480 1 CCD PC USB PC 2 334 PC USB RS-232C PC 3 2.1 2 Curved Document Imaging with Eye Scanner Toshiyuki AMANO, Tsutomu ABE, Osamu NISHIKAWA, Tetsuo IYODA, and Yukio SATO 1. Shape From Shading SFS [1] [2] 3 2 Department of Electrical and Computer Engineering,

More information

SEISMIC HAZARD ESTIMATION BASED ON ACTIVE FAULT DATA AND HISTORICAL EARTHQUAKE DATA By Hiroyuki KAMEDA and Toshihiko OKUMURA A method is presented for using historical earthquake data and active fault

More information

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp)

LMC7101/101Q Tiny Low Pwr Op Amp w/Rail-to-Rail Input and Output (jp) ,Q /Q Tiny Low Power Operational Amplifier with Rail-to-Rail Input and Output Literature Number: JAJS809 CMOS SOT23-5 CMOS LMC6482/6484 PHS (PDA) PCMCIA 5-Pin SOT23 CMOS 19940216 33020 23900 11800 2006

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

12 DCT A Data-Driven Implementation of Shape Adaptive DCT

12 DCT A Data-Driven Implementation of Shape Adaptive DCT 12 DCT A Data-Driven Implementation of Shape Adaptive DCT 1010431 2001 2 5 DCT MPEG H261,H263 LSI DDMP [1]DDMP MPEG4 DDMP MPEG4 SA-DCT SA-DCT DCT SA-DCT DDMP SA-DCT MPEG4, DDMP,, SA-DCT,, ο i Abstract

More information

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan

1 4 4 [3] SNS 5 SNS , ,000 [2] c 2013 Information Processing Society of Japan SNS 1,a) 2 3 3 2012 3 30, 2012 10 10 SNS SNS Development of Firefighting Knowledge Succession Support SNS in Tokyo Fire Department Koutarou Ohno 1,a) Yuki Ogawa 2 Hirohiko Suwa 3 Toshizumi Ohta 3 Received:

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

(13:00-13:45) () SP-1 13:00-13:45 p. 1 1 ( ) : 6 (10:55-11:47) () LED LED HID 10:55-11:08 p. 3 11:08-11:21 p. 5 11:21-11:34 p. 6

(13:00-13:45) () SP-1 13:00-13:45 p. 1 1 ( ) : 6 (10:55-11:47) () LED LED HID 10:55-11:08 p. 3 11:08-11:21 p. 5 11:21-11:34 p. 6 RENTAI2012 24 (63) 201210 20() 1060 (13:00-13:45) () SP-1 13:00-13:45 p. 1 1 ( 1 101 ) : 6 (10:55-11:47) () 6-1 6-2 LED LED 6-3 6-4 HID 10:55-11:08 p. 3 11:08-11:21 p. 5 11:21-11:34 p. 6 11:34-11:47 p.

More information

技術創造の社会的条件

技術創造の社会的条件 1999 10 21 21 i ... 1 1... 3 1-1. 20...3 1900 1945 3 1945 198x 4 198x 1999 5 1-2....7 1945 198x 7 HEMT 8 198x 1999 9 9 1-3....11 11 12 13 18 2 New Institutions... 21 2-1....21 22 24 26 2-2....27 28 29

More information

GSP_SITA2017_web.key

GSP_SITA2017_web.key ytnk@cc.tuat.ac.jp 25 DFT spectrum 2 15 1 5 1 2 3 Frequency index 4 5 25 15 1 DFT spectrum 2 5 1 2 3 Frequency index 4 5 .8 GFT spectrum.6 1.4.2 5 1 15 Graph frequency (eigenvalue) 1 GFT

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

IPSJ SIG Technical Report Vol.2009-CVIM-167 No /6/10 Real AdaBoost HOG 1 1 1, 2 1 Real AdaBoost HOG HOG Real AdaBoost HOG A Method for Reducing

IPSJ SIG Technical Report Vol.2009-CVIM-167 No /6/10 Real AdaBoost HOG 1 1 1, 2 1 Real AdaBoost HOG HOG Real AdaBoost HOG A Method for Reducing Real AdaBoost HOG 1 1 1, 2 1 Real AdaBoost HOG HOG Real AdaBoost HOG A Method for Reducing number of HOG Features based on Real AdaBoost Chika Matsushima, 1 Yuji Yamauchi, 1 Takayoshi Yamashita 1, 2 and

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術 平成 27 年度電子回路研究会高知市文化プラザかるぽーと Signal-Suppression Feed Forward ( 信号抑制フィードフォワード ) を用いた広帯域 LNA の低消費電力ノイズキャンセル技術 興大樹, 河内智, 李从兵, 神山雅貴, 高橋伸夫 ( 群馬大学 ) 馬場清一 ( 豊橋技術科学大学 ), 壇徹 ( オン セミコンダクター ) 小林春夫 ( 群馬大学 ) Gunma-univ.

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

01 02 04 06 08 10 12 14 15 16 17 18 20 22 24 26 27 28 29 30 30 31 31 31 32 32 33 33 34 35 3638 39 40

01 02 04 06 08 10 12 14 15 16 17 18 20 22 24 26 27 28 29 30 30 31 31 31 32 32 33 33 34 35 3638 39 40 01 02 04 06 08 10 12 14 15 16 17 18 20 22 24 26 27 28 29 30 30 31 31 31 32 32 33 33 34 35 3638 39 40 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 39 40

More information

impulse_response.dvi

impulse_response.dvi 5 Time Time Level Level Frequency Frequency Fig. 5.1: [1] 2004. [2] P. A. Nelson, S. J. Elliott, Active Noise Control, Academic Press, 1992. [3] M. R. Schroeder, Integrated-impulse method measuring sound

More information

2,347 232 01

2,347 232 01 27 194120331 JAPAN ASIA INVESTMENT CO., LTD. P01 P03 P05 P07 P08 P09 P10 P11 P13 P14 2,347 232 01 02 03 04 05 06 07 08 09 10 11 20,051 5,550 4,796 3,049 88,123 10,750 13,521 30,190 55,162 21,444 5,172

More information

P. 2 P. 4 P. 5 P. 6 P. 7 P. 8 P. 9 P P.11 P.13 P.15 P.16 P.17 P.17 P.18 P.20 P.21 P.23 P P P P P P P.30 16

P. 2 P. 4 P. 5 P. 6 P. 7 P. 8 P. 9 P P.11 P.13 P.15 P.16 P.17 P.17 P.18 P.20 P.21 P.23 P P P P P P P.30 16 2018303 2018 5 15 P. 2 P. 4 P. 5 P. 6 P. 7 P. 8 P. 9 P.10 2018 P.11 P.13 P.15 P.16 P.17 P.17 P.18 P.20 P.21 P.23 P.24 10 P.25 11 P.27 12 P.28 13 P.29 14 P.29 15 P.30 16 P.32 1 2 20172016 Copyright 2018

More information

FINAL PROGRAM 25th Annual Workshop SWoPP / / 2012 Tottori Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2012

FINAL PROGRAM 25th Annual Workshop SWoPP / / 2012 Tottori Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2012 FINAL PROGRAM 25th Annual Workshop SWoPP 2012 2012 / / 2012 Tottori Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2012 8 1 ( ) 8 3 ( ) 680-0017 101-5 http://www.torikenmin.jp/kenbun/

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation 1 1 1 1 SPEC CPU 2000 EQUAKE 1.6 50 500 A Parallelizing Compiler Cooperative Multicore Architecture Simulator with Changeover Mechanism of Simulation Modes GAKUHO TAGUCHI 1 YOUICHI ABE 1 KEIJI KIMURA 1

More information

DEIM Forum 2009 B4-6, Str

DEIM Forum 2009 B4-6, Str DEIM Forum 2009 B4-6, 305 8573 1 1 1 152 8550 2 12 1 E-mail: tttakuro@kde.cs.tsukuba.ac.jp, watanabe@de.cs.titech.ac.jp, kitagawa@cs.tsukuba.ac.jp StreamSpinner PC PC StreamSpinner Development of Data

More information

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2 FFT 1 Fourier fast Fourier transform FFT FFT FFT 1 FFT FFT 2 Fourier 2.1 Fourier FFT Fourier discrete Fourier transform DFT DFT n 1 y k = j=0 x j ω jk n, 0 k n 1 (1) x j y k ω n = e 2πi/n i = 1 (1) n DFT

More information

main.dvi

main.dvi 305 8550 1 2 CREST fujii@slis.tsukuba.ac.jp 1 7% 2 2 3 PRIME Multi-lingual Information Retrieval 2 2.1 Cross-Language Information Retrieval CLIR 1990 CD-ROM a. b. c. d. b CLIR b 70% CLIR CLIR 2.2 (b) 2

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

H(ω) = ( G H (ω)g(ω) ) 1 G H (ω) (6) 2 H 11 (ω) H 1N (ω) H(ω)= (2) H M1 (ω) H MN (ω) [ X(ω)= X 1 (ω) X 2 (ω) X N (ω) ] T (3)

H(ω) = ( G H (ω)g(ω) ) 1 G H (ω) (6) 2 H 11 (ω) H 1N (ω) H(ω)= (2) H M1 (ω) H MN (ω) [ X(ω)= X 1 (ω) X 2 (ω) X N (ω) ] T (3) 72 12 2016 pp. 777 782 777 * 43.60.Pt; 43.38.Md; 43.60.Sx 1. 1 2 [1 8] Flexible acoustic interface based on 3D sound reproduction. Yosuke Tatekura (Shizuoka University, Hamamatsu, 432 8561) 2. 2.1 3 M

More information

IT IT 20 32 35 IC IC IC IC IC 4 5 CCD 73

IT IT 20 32 35 IC IC IC IC IC 4 5 CCD 73 No.19 1990, 1 NoSide 2 News 6 10 12 16 18 IT IT 20 32 35 IC IC IC IC IC 4 5 CCD 73 IC MOS CCD 3 VHS 8 CCD CCD CCD IC IC MOS CCD CCD MOS MOSIC IC IC MOS MOS IC MOS 82 83 MOSIC MOSIC MOS SRAM CCD CCD SRAM

More information

SN-1 14:10 16:10 SN-1 A111 A112 A113 / WS-3 16:20 18:00 WS-3 A114 85 A115 A116 A117 10 23 ( ) OS-8 9:40 10:30 OS-8(1) B101 B102? ( ) B103 B103 OS-8(7)

SN-1 14:10 16:10 SN-1 A111 A112 A113 / WS-3 16:20 18:00 WS-3 A114 85 A115 A116 A117 10 23 ( ) OS-8 9:40 10:30 OS-8(1) B101 B102? ( ) B103 B103 OS-8(7) (1) (2) (3) (35 ) 10 23 ( ) OS-1 : 9:20 10:20 OS-1(1) A101 ( ) ( ) ( ) A102 ( ) A103 ( ) 10:30 11:50 OS-1(2) A104 A105 ( ) A106 3 : ( ) A107 13:00 14:00 OS-1(3) A108 CO2/DME ( ) A109 A110 SN-1 14:10 16:10

More information

149 (Newell [5]) Newell [5], [1], [1], [11] Li,Ryu, and Song [2], [11] Li,Ryu, and Song [2], [1] 1) 2) ( ) ( ) 3) T : 2 a : 3 a 1 :

149 (Newell [5]) Newell [5], [1], [1], [11] Li,Ryu, and Song [2], [11] Li,Ryu, and Song [2], [1] 1) 2) ( ) ( ) 3) T : 2 a : 3 a 1 : Transactions of the Operations Research Society of Japan Vol. 58, 215, pp. 148 165 c ( 215 1 2 ; 215 9 3 ) 1) 2) :,,,,, 1. [9] 3 12 Darroch,Newell, and Morris [1] Mcneil [3] Miller [4] Newell [5, 6], [1]

More information

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi ODA Department of Human and Mechanical Systems Engineering,

More information

Kochi University of Technology Aca Title 省 電 力 セルフタイム 回 路 に 関 する 研 究 Author(s) 岩 田, 誠, 宮 城, 桂, 三 宮, 秀 次, 西 川, 博 昭 Citation 高 知 工 科 大 学 紀 要, 10(1): 95-102 Date of 2013-07-20 issue URL http://hdl.handle.net/10173/1082

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

Influence of Material and Thickness of the Specimen to Stress Separation of an Infrared Stress Image Kenji MACHIDA The thickness dependency of the temperature image obtained by an infrared thermography

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

10D16.dvi

10D16.dvi D IEEJ Transactions on Industry Applications Vol.136 No.10 pp.686 691 DOI: 10.1541/ieejias.136.686 NW Accelerating Techniques for Sequence Alignment based on an Extended NW Algorithm Jin Okaze, Non-member,

More information

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI)

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI) DA DA シンポジウム 25 27 DAS25 Design Automation Symposium 25/8/26 28nm UTBB FDSOI SOI 28nm UTBB FDSOI Analysis of Soft Error Rates in a 28nm UTBB FDSOI Structure by DeviceLevel Simulation Shigehiro Umehara

More information

日本感性工学会論文誌

日本感性工学会論文誌 pp.389-402 2017 doi: 10.5057/jjske.TJSKE-D-17-00019 SKEL Fundamental Analysis on Designer s Inference Process Framework and Its Visualization Proposal of Inference Mapping Method to Assist Meta-cognition

More information

TG033002 2.0 3 5 26 TG035001 2.0 3 2 27 TG036001 2.0 3 1 ( ) 27 TG037001 2.0 3 2 28 TG038001 2.0 3 4 28 TG038002 2.0 3 5 29 TG039001 II 3.0 3 3,4,5 29

TG033002 2.0 3 5 26 TG035001 2.0 3 2 27 TG036001 2.0 3 1 ( ) 27 TG037001 2.0 3 2 28 TG038001 2.0 3 4 28 TG038002 2.0 3 5 29 TG039001 II 3.0 3 3,4,5 29 2002 syll mksyltex Ver 1.79 (2003-5-1) by higaki@tu.chiba-u.ac.jp TG001001 2.0 1 2 4 TG002001 2.0 1 2 4 TG002002 2.0 1 2 5 TG003001 2.0 2 3 5 TG003002 2.0 2 3 6 TG004001 I 2.0 2 3 6 TG004002 I 2.0 2 3

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

1 Chapter 1 (1) (2) JIS IEC, / 1.1 (1) (2) (3). 1. (passive element): 2. (active element): MOS FET 3. (mechanical element): 1.2 Fig.1.1,Fig.1.2 Fig.1.

1 Chapter 1 (1) (2) JIS IEC, / 1.1 (1) (2) (3). 1. (passive element): 2. (active element): MOS FET 3. (mechanical element): 1.2 Fig.1.1,Fig.1.2 Fig.1. 2013-04-03 1 Chapter 1 (1) (2) JIS IEC, / 1.1 (1) (2) (3). 1. (passive element): 2. (active element): MOS FET 3. (mechanical element): 1.2 Fig.1.1,Fig.1.2 Fig.1.1 AD Fig.1.2 Fig.1.1 Fig.1.2 Chapter 1 2

More information

DEIM Forum 2015 E4-5 DSMS DSMS DSMS 32% 46% RTOS Priority Inversion Time

DEIM Forum 2015 E4-5 DSMS DSMS DSMS 32% 46% RTOS Priority Inversion Time DEIM Forum 2015 E4-5 DSMS 464 8601 E-mail: {katsunuma,honda,hiro}@ertl.jp, watanabe@coi.nagoya-u.ac.jp DSMS DSMS 32% 46% RTOS Priority Inversion Time Reduction by Operator-Level Commit of DSMS Satoshi

More information

1 2 4 5 9 10 12 3 6 11 13 14 0 8 7 15 Iteration 0 Iteration 1 1 Iteration 2 Iteration 3 N N N! N 1 MOPT(Merge Optimization) 3) MOPT 8192 2 16384 5 MOP

1 2 4 5 9 10 12 3 6 11 13 14 0 8 7 15 Iteration 0 Iteration 1 1 Iteration 2 Iteration 3 N N N! N 1 MOPT(Merge Optimization) 3) MOPT 8192 2 16384 5 MOP 10000 SFMOPT / / MOPT(Merge OPTimization) MOPT FMOPT(Fast MOPT) FMOPT SFMOPT(Subgrouping FMOPT) SFMOPT 2 8192 31 The Proposal and Evaluation of SFMOPT, a Task Mapping Method for 10000 Tasks Haruka Asano

More information

1 [1, 2, 3, 4, 5, 8, 9, 10, 12, 15] The Boston Public Schools system, BPS (Deferred Acceptance system, DA) (Top Trading Cycles system, TTC) cf. [13] [

1 [1, 2, 3, 4, 5, 8, 9, 10, 12, 15] The Boston Public Schools system, BPS (Deferred Acceptance system, DA) (Top Trading Cycles system, TTC) cf. [13] [ Vol.2, No.x, April 2015, pp.xx-xx ISSN xxxx-xxxx 2015 4 30 2015 5 25 253-8550 1100 Tel 0467-53-2111( ) Fax 0467-54-3734 http://www.bunkyo.ac.jp/faculty/business/ 1 [1, 2, 3, 4, 5, 8, 9, 10, 12, 15] The

More information

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us 1,a) 1 1 1 1 2 2 2011 8 10, 2011 12 2 1 Bluetooth 36 2 3 10 70 34 A Health Management Service by Cell Phones and Its Usability Evaluation Naofumi Yoshida 1,a) Daigo Matsubara 1 Naoki Ishibashi 1 Nobuo

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7 電気電子工学専攻 54001 電磁波特論 2-0-0 電気電子コース EEE.S401 電気電子工学専攻 54002 無線通信工学 2-0-0 電気電子コース EEE.S451 Advanced Electromagnetic Waves ( 電磁波特論 ) Wireless Communication Engineering ( 無線通信工学 ) 旧電磁波特論あるいは旧 Advanced Electromagnetic

More information

PFQX1793ZA(01~09)

PFQX1793ZA(01~09) KX-FKN330 Ni-Cd Ni-Cd 1 2 3 1 2 3 0570-087-087 0120-878-365 06-6907-1187 0120-878-236 Help desk for foreign residents in Japan Tokyo (03) 3256-5444 Osaka (06) 6645-8787

More information

Copyright 2017 JAPAN POST BANK CO., LTD. All Rights Reserved. 1

Copyright 2017 JAPAN POST BANK CO., LTD. All Rights Reserved. 1 Copyright 2017 JAPAN POST BANK CO., LTD. All Rights Reserved. 1 Copyright 2017 JAPAN POST BANK CO., LTD. All Rights Reserved. 2 60 50 40 30 20 10 0 20173 20183 Copyright 2017 JAPAN POST BANK CO., LTD.

More information

MOSFET HiSIM HiSIM2 1

MOSFET HiSIM HiSIM2 1 MOSFET 2007 11 19 HiSIM HiSIM2 1 p/n Junction Shockley - - on-quasi-static - - - Y- HiSIM2 2 Wilson E f E c E g E v Bandgap: E g Fermi Level: E f HiSIM2 3 a Si 1s 2s 2p 3s 3p HiSIM2 4 Fermi-Dirac Distribution

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N

1 OpenCL OpenCL 1 OpenCL GPU ( ) 1 OpenCL Compute Units Elements OpenCL OpenCL SPMD (Single-Program, Multiple-Data) SPMD OpenCL work-item work-group N GPU 1 1 2 1, 3 2, 3 (Graphics Unit: GPU) GPU GPU GPU Evaluation of GPU Computing Based on An Automatic Program Generation Technology Makoto Sugawara, 1 Katsuto Sato, 1 Kazuhiko Komatsu, 2 Hiroyuki Takizawa

More information

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori Proposal and Characteristics Evaluation of a Power Generation System Utilizing Waste Heat from Factories for Load Leveling Pyong Sik Pak, Member, Takashi Arima, Non-member (Osaka University) In this paper,

More information

もくじ1

もくじ1 Andplus Co. Ltd Andplus Co. Ltd Andplus Co. Ltd Andplus Co. Ltd Andplus Co. Ltd Andplus Co. Ltd Chapter1 インストール 方 法 chapter1 1-0 Andplus Co. Ltd. chapter1 1-1 Andplus Co. Ltd. chapter1 1-2 Andplus Co.

More information

P. 2 P. 4 P. 5 P. 6 P. 7 P. 9 P P.11 P.14 P.15 P.16 P.16 P.17 P.19 P.20 P.22 P P P P P P P P P

P. 2 P. 4 P. 5 P. 6 P. 7 P. 9 P P.11 P.14 P.15 P.16 P.16 P.17 P.19 P.20 P.22 P P P P P P P P P 201628 3 2016 5 13 P. 2 P. 4 P. 5 P. 6 P. 7 P. 9 P.10 2016 P.11 P.14 P.15 P.16 P.16 P.17 P.19 P.20 P.22 P.23 10 P.24 11 P.26 12 P.27 13 P.28 14 P.28 15 P.29 16 P.30 17 P.31 P.33 P.34 Copyright 2016 JAPAN

More information

P. 2 P. 4 P. 5 P. 6 P. 7 P. 9 P.10 P.12 P.13 P.14 P.14 P.15 P.17 P.18 P.20 P P P P P.25 P.27 P.28 Copyright 2016 JAPAN POST BA

P. 2 P. 4 P. 5 P. 6 P. 7 P. 9 P.10 P.12 P.13 P.14 P.14 P.15 P.17 P.18 P.20 P P P P P.25 P.27 P.28 Copyright 2016 JAPAN POST BA 201729 3 1 2016 8 12 P. 2 P. 4 P. 5 P. 6 P. 7 P. 9 P.10 P.12 P.13 P.14 P.14 P.15 P.17 P.18 P.20 P.21 10 P.22 11 P.23 12 P.24 13 P.25 P.27 P.28 Copyright 2016 JAPAN POST BANK CO., LTD. All Rights Reserved.

More information

no15

no15 Development of High Performance Catalyst Temperature Sensor for NOx Catalyst Control Atsushi KURANO Kaoru KUZUOKA Sotoo TAKAHASHI Itsuhei OGATA In order to meet each countrys low emission vehicle regulations

More information

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple 1 2 3 4 5 e β /α α β β / α A judgment method of difficulty of task for a learner using simple electroencephalograph Katsuyuki Umezawa 1 Takashi Ishida 2 Tomohiko Saito 3 Makoto Nakazawa 4 Shigeichi Hirasawa

More information