目 次 1. はじめに パッケージ(PACKAGE)とコンポーネント(COMPONENT) VHDL の PACKAGE PACKAGE の 作 成 COMPONENT GENERIC MAP

Size: px
Start display at page:

Download "目 次 1. はじめに... 1 2. パッケージ(PACKAGE)とコンポーネント(COMPONENT)... 1 2.1 VHDL の PACKAGE... 1 2.2 PACKAGE の 作 成... 1 2.3 COMPONENT... 2 2.4 GENERIC MAP... 5 2.5"

Transcription

1 第 5 学 年 工 学 実 験 Ⅱ VHDL による 論 理 回 路 設 計 13.パッケージとコンポーネント, Function と Procedure 平 成 26 年 4 月 電 子 システム 工 学 科 香 川 高 等 専 門 学 校

2 目 次 1. はじめに パッケージ(PACKAGE)とコンポーネント(COMPONENT) VHDL の PACKAGE PACKAGE の 作 成 COMPONENT GENERIC MAP GENERATE と PORT MAP CONFIGURATION BLOCK VHDL FUNCTION と PROCEDURE SUBPROGRAM ASSERT 文 FUNCTION 文 PROCEDURE 文 参 考 文 献 i

3 1. はじめに 文 献 [1]の 8 章 パッケージとコンポーネント,9 章 function と procedure の 抄 訳 を 提 供 する 2. パッケージ(package)とコンポーネント(component) 2.1 VHDL の package VHDL コードの 分 割, 共 有, 再 利 用 には package,component,function,procedure を 使 用 する これらは 主 コードの 外 側,すなわち,ライブラリにおかれるので,システムレベルの 参 照 になる ライブラリは,function, procedure と 定 義 文 を 含 む 複 数 の package から 構 成 される この 章 で は,ライブラリの 構 築 を 扱 う 2.2 package の 作 成 パッケージの 作 成 には,package と package body と 呼 ばれる 2 種 類 のコードが 必 要 である 以 下 に 構 文 を 示 す package package_name is declarative_part end [package][package_name]; [package body package_name is [subprogram_body] [deferred_constant_specifications] end [package_body][package_name]]; 最 初 の package の 部 分 は 宣 言 のみである これには,subprogram 宣 言, 型 宣 言,subtype 宣 言, 定 数 宣 言, 信 号 宣 言,shared variable 宣 言,file 宣 言,alias 宣 言,コンポーネント 宣 言,グル ープ template 宣 言,グループ 宣 言 がある, 次 の package body 部 は,subprogram すなわち function と procedure や deferred constant ( 設 定 延 期 定 数 )がある 場 合 にのみ 必 要 とされる この 場 合 には,subprogram の 内 容 や 定 数 宣 言 がすべ て 規 定 されなければならない 例 ) my_package と 名 付 けた type,signal, 定 数 のみの 例 を 示 す この 例 では package body 部 は 不 要 である - 1 -

4 package my_package is type matrix is array(1 to 3, 1 to 3) of bit; signal x: matrix; constant max1, max2: integer := 255; end package; VHDL2008 では,package にも generic 定 数 宣 言 を 許 す 次 は,package body 部 が 必 要 な 例 である 例 )function と deferred constant をもつ package これは,"clk'event and clk='0'"と 同 等 である library ieee; use ieee.std_logic_1164.all; package my_package is constant flag: std_logic; function down_edge(signal s: std_logic)return boolean; end my_package; package body my_package is constant flag: std_logic := '1'; function down_edge(signal s: std_logic) return boolean is return (s'event and s='0'); end down_edge; end my_package; 2.3 component component は, 単 純 に,これまでのコード 構 成 と 同 じ 構 成 をとる,すなわち,library/package 宣 言 +entity 部 +architecture 部 である ただ,component として 再 利 用 性 や 階 層 設 計 を 意 図 して 宣 言 している 一 般 的 によく 使 用 する 加 算 器, 乗 算 器,マルチプレクサなどは component 化 される component を 用 いる 設 計 は 構 造 的 設 計 と 呼 ばれる component は,architecture, package, generate,block 内 で 定 義 できる component の 使 用 には, 宣 言 部 と 実 装 部 (instantiation)が 必 要 である 以 下 に component 宣 言 の 構 文 を 示 す component component_name [is] [ generic ( const_name: const_type := const_value; const_name: const_type := const_value;...);] port( port_name: portmode signal_type; port_name: portmode signal_type;...); end component [component name]; - 2 -

5 次 に component 実 装 宣 言 の 構 文 を 示 す label: [component] component_name [generic map (generic_list)] port map(port_list); component 宣 言 は, 設 計 回 路 の entity 部 の 正 確 なコピーである generic 部 は, 実 装 時 に generic map により 変 更 する generic list がある 場 合 に 記 述 する component 実 装 では,label が 必 須 である 例 ) 3 入 力 NAND --- component declaration component nand3 is port(a1, a2, a3: in std_logic; b: out std_logic); end component; --- component instantiation nand_gate: nand3 port map (x1, x2, x3, y); この 例 では,component のオリジナルの 信 号 a1, a2, a3, b と 回 路 の 信 号 x1, x2, x3, y の 関 連 付 けを 位 置 によって 行 っている (1) マッピングのオプション マッピングには, 位 置 による 関 連 付 けと 名 前 による 関 連 付 けがある VHDL2008 の 拡 張 も 含 めて 以 下 に 示 す ---- component instantiation nand3_1: nand3 port map (x1, x2, x3, y); -- positional mapping nand3_2: nand3 port map (a1=>x1, a2=>x2, a3=>x3, b=>y); -- nominal mapping nand3_3: nand3 port map (x1, x2, x3, open); -- positional mapping nand3_4: nand3 port map (a1=>x1, a2=>x2, a3=>x3, b=>open); -- nominal mapping 上 の 2 行 と 下 の 2 行 は 同 等 である キーワード open は, 出 力 が 未 接 続 を 表 す (2) component 宣 言 のオプション 図 1は component の 一 般 的 な 使 用 法 を 示 す (a)は,library に component 宣 言 があり,main の 中 で,component 宣 言 と component 実 装 の 両 方 を 記 述 する 方 法 である (b)は,component がライ ブラリ 中 のパッケージにある 場 合 であり,この 場 合 には main 部 には component 実 装 のみを 記 述 する (3) File/Project Assembling オプション component を 使 用 するコードのコンパイル 法 にはいくつかの 方 法 がある (a) すべてのコードを entity と 同 じ 名 前 の 1 ファイルに 収 める この 場 合 は,component 宣 言 も main 部 の 中 に 入 れる( 図 1(a)) (b) component を 別 のプロジェクトでコンパイルしておき,main 部 では component 宣 言 をする work ライブラリが 異 なる 場 合 には,use 節 によりライブラリを 明 示 する( 図 1(b)) (c) (b)と 同 様 に component を 別 にコンパイルする component 宣 言 も package に 入 れる main 部 では use 節 が 必 要 である - 3 -

6 library component nand Main code component 宣 言 library component nand component xor component 実 装 component xor Main code package component 実 装 component 宣 言 (a)library 中 の component 図 1 component の 使 用 (b)component 宣 言 の package 化 例 )load 付 巡 回 シフトレジスタ マルチプレクサと DFF を component として 図 2 に 示 す 巡 回 シフトレジスタを 1 ファイルでコード 化 する d 0 d 1 d 2 d D Q 1 0 D Q 1 0 D Q 1 0 D Q CK CK CK CK load clk q 0 q 1 q 2 q 3 図 2 load 付 巡 回 シフトレジスタ ---- Multiplexer entity mux is port (a, b, sel: in bit; x:out bit); end entity; architecture mux of mux is x <= a when sel='0' else b; end architecture; ---- flipflop entity flipflop is port (d, clk: in bit; q:out bit); end entity; architecture flipflop of flipflop is process(clk) if (clk'event and clk='1') then q <= d; end if; end process; end architecture; - 4 -

7 ---- main code entity circular_shift is port (clk, load: in bit; d: in bit_vector(0 to 3); q: buffer_bit_vector(0 to 3)); end entity; architecture structural of circular_shift is signal i: bit_vector(0 to 3); component mux is port (a, b, sel: in bit; x:out bit); snd component; component flipflop is port (d, clk: in bit; q:out bit); snd component; mux1: mux port map (q(3), d(0), load, i(0)); mux2: mux port map (q(0), d(1), load, i(1)); mux3: mux port map (q(1), d(2), load, i(2)); mux4: mux port map (q(2), d(3), load, i(3)); dff1: flipflop port map (i(0), clk, q(0)); dff2: flipflop port map (i(1), clk, q(1)); dff3: flipflop port map (i(2), clk, q(2)); dff4: flipflop port map (i(3), clk, q(3)); end architecture; 2.4 generic map component 文 に generic 文 が 含 まれている 場 合 には,port map 文 に generic map を 記 述 する 例 ) --- component 宣 言 component and_gate is generic(inputs: positive :=8); port(a: in bit_vector(1 to inputs); b: out bit); end component; ---- component instantiation ---- a1: and_gate generic map(16) port map(x, y); a2: and_gate generic map (inputs=>16) port map (a=>x, b=>y); inputs と 名 付 けたデフォルト 定 数 を 8 として 実 装 時 に 16 に 変 更 する 上 の 2 例 a1,a2 は 同 等 である component 文 中 の generic ではデフォルト 値 がなくてもよいが,generic map 文 では 規 定 しなけ ればならない - 5 -

8 例 ) component と generic map を 用 いたプライオリティ 検 出 器 N ビット 入 力 x 中 の 1 の 数 が 奇 数 のとき 出 力 y=1 となるプライオリティ 検 出 器 の 例 である component 宣 言 では,デフォルト 値 のない 定 数 として bits を 宣 言 しておき, 実 装 時 に generic map により main 部 で 宣 言 した 定 数 N を 設 定 している The component entity par_detector is generic (bits: positive); port (input: in bit_vector(bits-1 downto 0); output: out bit); end par_detector; architecture behavior of par_detector is process(input) variable temp: bit: temp := '0'; for i in input'range loop temp := temp xor input(i); end loop; output <= temp; end process; end behavior; main code entity parity_detector is generic (N: positive := 8); port(x: in bit_vector(n-1 downto 0); y : out bit); end parity_detector; architecture structural of parity_detector is component par_detector is generic (bits: positive); port (input: in bit_vector(bits-1 downto 0); output: out bit); end component; det: par_detector generic map(bits=>n) port map(input=>x, output=>y); end structural; 2.5 generate と port map 同 じ component を 多 数 使 用 する 場 合 には,generate loop 中 に port map をおいて component を 実 装 すると 便 利 である 代 表 的 な 使 用 例 を 次 に 示 す - 6 -

9 gen: for ion 0 to max generate comp: my_component port map (x(i), y(i), z(i)); end generate gen; 図 2 の load 付 シフトレジスタで 段 数 M, 各 データのビット 幅 を N とする 場 合 の 例 を 以 下 に 示 す 例 )component と generate を 用 いたシフトレジスタ この 例 では, 巡 回 ではなく 初 段 のマルチプレクサには 入 力 x(ビット 幅 N)が 入 るものとする ---- package package my_declarations is type twod is array (natural range <>, natural range <>) of bit; component mux is port (a, b, sel: in bit; x: out bit); end component; component flipflop is port (d, clk: in bit; q: out bit); end component; end package; ---- main code use work.my_declarations.all; entity shift_register is generic (M: positive := 4; N: positive := 8); port (clk, load: in bit; x: in bit_vector(n-1 downto 0); d: in twod(0 to M-1, N-1 downto 0); y: out bit_vector(n-1 downto 0)); end entity;

10 architecture structural of shift_register is signal u: twod(0 to M, N-1 downto 0); signal v: twod(0 to M-1, N-1 downto 0); ---- transfer x->u and u->y gen1: for i in N-1 downto 0 generate u(0,i) <= x(i); y(i) <= u(m,i); end generate gen1; ---- update internal array gen2: for i in 0 to M-1 generate gen3: for j in N-1 downto 0 generate mux1: mux port map (u(i,j),d(i,j),load,v(i,j)); dff1: flipflop port map (v(i,j), clk, u(i+1,j)); end generate gen3; end generate gen2; end architecture; 2.6 configuration configuration 文 は,entity と architecture を 結 びつける 文 である プロジェクト 内 に 複 数 の architecture がある 場 合 や 複 雑 な 階 層 設 計 に 使 用 される configuration 文 は,entity や architecture の 外 に 置 かれる 以 下 の 2 形 式 がある (1) ダイレクト 形 式 configuration config_name of entity_name is for arch_name end for; end [configuration][config_name]; (2)コンポーネントの 実 装 configuration config_name of entity_name is for arch_name for label: component_name -- or for others/all: component_name use entity entity_name[(arch_name)]; end for; end for; end [configuration][config_name]; 以 下 の 例 は,2つの architecture をもつ entity に arch1 を 設 定 する 例 である - 8 -

11 例 ) entity test... end test; architecture arch1... end arch1; architecture arch2... end arch2; configuration config1 of test is for arch1 end for; end configuration; 例 ) 次 は,component と generic map を 用 いたプライオリティ 検 出 器 を configuration により 書 き 直 す 例 である main code 中 の component 名 には 仮 の 名 前 detector を 使 用 し,configuration の 中 で behavior の par_detector と 接 続 する project ディレクトリは work である The component entity par_detector is (ここは, 前 述 のプライオリティ 検 出 器 のコードと 同 じ) end behavior; main code entity parity_detector is generic (N: positive := 8); port(x: in bit_vector(n-1 downto 0); y : out bit); end parity_detector; architecture structural of parity_detector is component detector is generic (bits: positive); port (input: in bit_vector(bits-1 downto 0); output: out bit); end component; det: detector generic map (N) port map(x,y); end structural; configuration my_config of parity_detector is for structural for det: detector use entity work.par_detector(behavior); end for; end for; end my_config; 通 常 のコードでは,1つの entity に1つの architecture が 記 述 されるため,configuration は - 9 -

12 不 要 となる 2.7 block block は,システムレベル 設 計 においてコードの 分 割 を 促 進 するための 同 時 処 理 文 である block は architecture 内 で 使 用 する これを 用 いることにより 可 読 性 と 管 理 性 が 高 まる block は 順 次 処 理 内 では 使 用 できない しかし,process も 同 時 処 理 であったから,block 内 で process 文 は 使 用 できる 次 に block の 構 文 を 示 す label は 必 須 であり,guard_expression はオプションである declarative_part には,generic,generic map,port,port map と architecture の 宣 言 部 で 使 用 できるすべての 宣 言 をおくことができる label: block [(guard_expression)] [is] [declarative_part] concurrent_statements_part end block [label]; block をネストにして 複 雑 な 構 造 にもできるが, 以 下 は 単 純 な 例 である architecture example of controller: block... end block controller;... end example; guard_expression を 含 む block は guard block と 呼 ばれ,guard_expression 部 が true の ときのみ block 部 が 評 価 される 次 の 例 はこの 例 を 示 す しかし,guard_expression の 目 的 はドライバの 接 続, 非 接 続 にあるため 実 用 回 路 では 推 奨 しない 例 )guard block を 用 いたラッチ

13 library ieee; use ieee.std_logic_1164.all; entity latch is port (d, clk: in std_logic; q: out std_logic); end entity; architecture block_latch of latch is blk: block (clk='1') q <= guarded d; end block blk; end architecture; 2.8 VHDL2008 package と component に 関 して VHDL2008 では 次 の 拡 張 がなされた (1) package の 宣 言 部 で 以 下 の 宣 言 が 可 能 である subprogram 実 装 宣 言,package 宣 言,package 実 装 宣 言 (2) package のヘッダにおいて generic 宣 言 が 可 能 以 下 に, 構 文 と 例 を 示 す package package_name is [generic (generic_list);] declarative_part end [package][package_name]; 例 ) package generic_type is generic (constant words: natural; type: word_type); type gen_type is array 1 to words of word_type; end package; (3) generic_list をもつ package は, 以 下 の 構 文 により package 実 装 宣 言 をしなければならな い package package_name is new uninstantiated_package_name generic map (instantiation_list); 上 の 例 では, 次 のようになる

14 library ieee; use ieee.std_logic_1164; package memory_array is new work.generic_type generic map (instantiation_list); (4) port map の 指 定 では 以 下 のような 表 現 が 可 能 である cir: my_circuit port map I(inp=>a and b, outp => c);

15 3. function と procedure 3.1 subprogram function と procedure は subprogram と 呼 ばれ, 順 次 に 処 理 されるため process とよく 似 てい る すなわち,これらでは 順 次 処 理 文 (if,wait,loop,case)のみが 使 用 可 能 である しかし,process は architecture 内 におかれるのに 対 して,subprogram は,package, entity, architecture, process のどこにおいてもよい 主 として subprogram は package におくため,システムレベルの1 ユニットと 解 釈 できる 3.2 assert 文 subprogram を 見 る 前 に 特 にシミュレーション 時 に 入 力 をチェックするのに 便 利 な assert 文 を 見 て おく assert 文 は 同 時 処 理 でも 順 次 処 理 でもある これは 論 理 合 成 には 関 係 がなくコンパイラまたはシ ミュレータへの 要 求 である assert 文 の 構 文 を 示 す [label:] assert boolean_expression [report string_expression] [severity severity_level]; string_expression は, 定 数 または string 型 の signal である 連 結 演 算 子 &が 使 える 例 ) s が"idle"であるとき report "Attention: s=" & s & "!" は,"Attention: s=idle!"というメッセージとしてスクリーンに 表 示 される severity_level は,note,warning,failure である このメッセージは 条 件 が false の 場 合 に 発 行 される error, failure が 発 生 するとコンパイラやシミュレータは 停 止 する 例 ) ある 関 数 が 2 個 の 同 一 サイズのベクトル a,b を 受 け 取 るとする assert (a'length=b'length) report "Signal a and b do not have the same length!" severity failure; このような 例 は 条 件 付 き assert 文 と 呼 ばれる, 無 条 件 assert 文 もあり, 次 の 構 文 をもつ

16 [label:] assert false [report string_expression] [severity severity_level]; メッセージは 条 件 が false の 場 合 に 発 行 されるため,この 場 合 は, 強 制 発 行 になる 無 条 件 assert は,コンパイラやシミュレータがどの 時 点 まで 実 行 したかを 知 るための 有 効 な 手 段 で ある 複 雑 な assert 文 でよく 用 いられる 属 性 として,t'image(x)がある これは 型 t の 値 x を string に 変 換 する 属 性 である ただし, 元 の 型 は, 数, 列 挙 子, 物 理 型 でなければならない 例 を 示 す use ieee.std_logic_unsigned.all;... signal x, y: std_logic_vector(3 downto 0); signal n: integer range 0 to 255; signal t: time range 0ns to 200ns;... assert (x=y and n=ref) report "Mismatch at t=" & time'image(t) & "(for n=" & integer'image(n) & ", x=" & integer'image(conv_integer(x)) & ", y=" & integer'image(conv_integer(y)) & ")." severity failure; function 文 function は,signal と 同 様 に 順 次 処 理 文 であるから,if,wait,loop,case のみが 使 用 できる VHDL2008 拡 張 では,when と select も 使 用 できる 宣 言 部 も process 文 と 同 様 であり,signal の 宣 言 はできない 以 下 に function の 構 文 を 示 す [pure impure] function function_name [(input_list)] return return_value_type is [declarative part] statement_part [label:] return expression; end [function] [function_name]; 構 文 の 最 初 は, 後 述 の pure,impure である 指 定 がなければ pure がデフォルトである input_list は, 入 力 パラメータである これには constant,signal,file が 適 用 され,variable は 不 可 である function の 出 力 は return 文 で 示 される 1 つの 変 数 である

17 例 ) function positive_edge (signal s: std_logic) return boolean is return (s'event and s='1'); end function positive_edge; function は,package,entity,architecture,process,block におくことができるが,package が 最 も 一 般 的 である 例 を 示 す ---- package package my_subprograms is function positive_edge (signal s: std_logic) return boolean; end package; ---- package body package body my_subprograms is function positive_edge (signal s: std_logic) return boolean is return (s'event and s='1'); end function positive_edge; end package body; (1) function call function はどこからでも 呼 び 出 すことができる 例 に 見 るように function 呼 び 出 しは expression の 1 つである 例 ) 以 下 の 2 行 は 同 等 である if positive_edge(clk) then... if clk'event and clk='1' then... (2) 位 置 による 関 連 付 け map と 名 前 による 関 連 付 け component の port map と 同 様 に function と function call の 関 連 付 けには 2 種 類 がある 例 ) ---- function declaration function my_function (signal a, b: bit) return bit; ---- equivalent function calls y <= my_function(x1, x2); -- positional mapping y <= my_function(a=>x1, b=>x2); -- nominal mapping y <= my_function(b=>x2, a=>x1); -- nominal mapping (3) Pure と impure function function は 自 身 の 変 数 だけを 変 更 するとき,pure であると 言 われる この 場 合 は,どの 時 点 での function call も 結 果 は 同 じである これに 対 して,architecture,process,subprogram から

18 呼 ばれ,signal や variable を 変 更 する 場 合 は impure であると 言 われ, 呼 び 出 すタイミングにより 同 じパラメータでも 結 果 が 異 なることがある impure 呼 び 出 しには 注 意 を 要 する VHDL2008 では function 内 で generic list が 可 能 となった 例 )architecture 内 の function max entity comparator is port (a, b, c: in integer range 0 to 255); y: out integer range 0 to 255); end entity; architecture comparator of comparator is function max (in1, in2, in3: integer) return integer is --- check in-out signal assert (y'left=a'left and y'left=b'left and y'left=c'left and y'right = a'right and y'right=b'right and y'right=c'right) report "Signal sizes are not all equal!" severity failure; ---- Find maximum if (in1>=in2 and in1>=in3) then return in1; elsif (in2>=in1 and in2>=in3) then return in2; else return in3; end if; end function; y <= max(a, b, c)-- positional mapping -- y <= max(in1=> a, in2 => b; in3 => c) -- hominal mapping; eng architectute 例 )package 中 の function 入 力 の 添 え 字 を 降 順 に 並 べて, 最 後 の 数 を 0 にする 並 べ 替 えを 行 う library ieee; use ieee.numeric_std.all; package my_package is function order_and_fill (input: unsigned; bits: natural) return unsigned; end package; package body my_package is function order_and_fill (input: unsigned; bits: natural) return unsigned is variable a: unsigned (input'length-1 downto 0); variable result: unsigned (bits-1 downto 0);

19 ---- check input size ---- assert (input'length <= bits) report "Improper input size!" severity failure; ---- organize input if (input'left > input'right) then a:= input; else for i in a'range loop a(i) := input(input'left+i); end loop; end if; ---- fill with zeros if (a'length < bits) then result(bits-1 downto s'length) := (others =>'0'); result(a'length-1 sownto 0):= a; else result := a; end if; return result; end function; end package body; ---- main code library ieee; use ieee.numeric_std.all; use work.my_package.all; entity organizer is generic(size: natural :=5); port (x: in unsigned(2 to 5); y: out unsigned(size-1 downto 0)); end entity; architecture organizer of organizer is y <= order_and_fill(x, size) end architecture;

20 例 )entity 内 の function std_logic_vector から integer への 変 換 library ieee; use ieee.std_logic_1164.all; entity... port (...) function slv_to_integer (signal s: std_logic_vector) return integer is alias ss: std_logic_vector(1 to s'length) is s; variable result: integer range 0 to 2**s'length-1; result := 0; for i in 1 to s'length loop result := result * 2; if (ss(i)='1' or ss(i)='h') then result := result + 1; elsif (ss(i)/='0' and ss(i)/='l') then assert false report "There is a invalid input!" severity failure; end if; end loop; return result; end function slv_to_integer; architecture procedure 文 procedure は,function とほとんど 同 じであるが, 返 り 値 が 複 数 になる 点 が 異 なる procedure の 構 文 を 示 す prodcedure procedure_name (input_output_list) is [declarative_part] statement_part end [procedure] [procedure_name]; input_output_list には,constant,signal,variable が 入 る モードは in,out,inout である in の 場 合 のデフォルトは constant となり,out,または,inout の 場 合 は variable がデ フォルトである 宣 言 は 次 の 形 式 をもつ constant constant_name: mode constant_type; signal signal_name: mode signal_type; variable variable_name: mode variable_type;

21 function も procedure も 順 次 処 理 であるから, 順 次 処 理 文 のみが 許 される 例 )package 中 の procedure min_max package package my_package is procedure min_max (signal a, b, c: in integer; signal min, max: out integer); end package; package body my_package is procedure min_max (signal a, b, c: in integer range 0 to 255; signal min, max: out integer range 0 to 255) is if (a>=b) then if (a>=c) then max <= a; if (b>=c) then min <= c; else min <= b; end if; else max <= c; min <= b; end if; else if (b>=c) then max <= b; if (a>=c) then min <= c; else min <= a; end if; else max <= c; min <= a; end if; end if; end procedure; end package body; main code use work.my_package.all; entity comparator is port (a, b, c: in integer range -256 to 255); min, max: out integer range -256 to 255); end entity; architecture comparator of comparator is min_max(a,b,c,min,max); end architecture;

22 文 献 [1] Volnei A. Pedroni:Circuit Design and Simulation with VHDL 2 nd ed., MIT Press,

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

フリップフロップ

フリップフロップ 第 3 章フリップ フロップ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2005/10/17 2006, Masaharu Imai 1 講義内容 フリップ フロップの基本原理 RS フリップ フロップ D ラッチ D フリップ フロップ JK フリップ フロップ T フリップ

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

TECH_I Vol.25 改訂新版PCIデバイス設計入門

TECH_I Vol.25 改訂新版PCIデバイス設計入門 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity n is port( ); end entity n; architecture RTL of nis begin when : process begin end process :process begin end process

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

------------------------------------------------------------------------------------------------------- 1 --------------------------------------------

------------------------------------------------------------------------------------------------------- 1 -------------------------------------------- ------------------------------------------------------------------------------------------------------- 1 -------------------------------------------------------------------------- 2 -----------------------------------------------------------------------------

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

VBI VBI FM FM FM FM FM DARC DARC

VBI VBI FM FM FM FM FM DARC DARC 14 2 7 2.1 2.1.1 2.1.2 2.1.3 2.1.3.1 VBI 2.1.3.2 VBI 2.1.4 2.1.5 2.1.6 10 2.FM 11 2.2.1 FM 11 2.2.2 FM 11 2.2.3FM 13 2.2.4 FM DARC 14 2.2.4.1 DARC 14 2.2.4.2 DARC 14 17 3.1 17 3.1.1 parity 17 3.1.2 18

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

1 書 誌 作 成 機 能 (NACSIS-CAT)の 軽 量 化 合 理 化 電 子 情 報 資 源 への 適 切 な 対 応 のための 資 源 ( 人 的 資 源,システム 資 源, 経 費 を 含 む) の 確 保 のために, 書 誌 作 成 と 書 誌 管 理 作 業 の 軽 量 化 を 図

1 書 誌 作 成 機 能 (NACSIS-CAT)の 軽 量 化 合 理 化 電 子 情 報 資 源 への 適 切 な 対 応 のための 資 源 ( 人 的 資 源,システム 資 源, 経 費 を 含 む) の 確 保 のために, 書 誌 作 成 と 書 誌 管 理 作 業 の 軽 量 化 を 図 平 成 2 8 年 3 月 25 日 NACSIS-CAT 検 討 作 業 部 会 NACSIS-CAT/ILL の 軽 量 化 合 理 化 について( 基 本 方 針 )( 案 ) これからの 学 術 情 報 システム 構 築 検 討 委 員 会 ( 以 下, これから 委 員 会 ) は これか らの 学 術 情 報 システムの 在 り 方 について ( 平 成 27 年 5 月 29 日 )

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

Taro-1-14A記載例.jtd

Taro-1-14A記載例.jtd 募 集 株 式 の 発 行 ( 非 公 開 会 社 のうち 非 取 締 役 会 設 置 会 社 ) 受 付 番 号 票 貼 付 欄 株 式 会 社 変 更 登 記 申 請 書 1. 会 社 法 人 等 番 号 0000-00 - 000000 分 かる 場 合 に 記 載 してください 1. 商 号 1. 本 店 1. 登 記 の 事 由 商 事 株 式 会 社 県 市 町 丁 目 番 号 募 集

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

XML形式の電子報告書作成に当たっての留意事項

XML形式の電子報告書作成に当たっての留意事項 XML 形 式 の 電 子 報 告 書 作 成 に 当 たっての 留 意 事 項 Excel テンプレート 入 力 方 式 において 社 内 システム 等 から 直 接 XML 形 式 の 電 子 報 告 書 ( 以 下 XML 送 信 ファイル という)を 作 成 する 場 合 以 下 の 点 にご 留 意 ください ( 留 意 事 項 1)ファイル 名 称 拡 張 子 XML 送 信 ファイルのファイル

More information

計算式の取り扱い

計算式の取り扱い 4.データ 入 力 と 表 計 算 4-1 計 算 式 の 取 り 扱 い 1) 数 式 の 基 本 Excelのような 表 計 算 ソフトでは セルに 入 力 されたデータ( 定 数 )を 計 算 式 ( 数 式 )によって 計 算 することで さまざまな 処 理 が 行 えます 数 式 バーには 数 式 の 内 容 が 表 示 されます セルには 計 算 結 果 が 表 示 されます 数 式 の

More information

SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 文 言 変 更 p.12(1. 基 本 事 項 ) (5)SXF 入 出 力 バージョン Ver.2 形 式 と Ver.3.0 形 式 および Ver.3.1 形 式 の 入 出 力 機 能 を

SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 文 言 変 更 p.12(1. 基 本 事 項 ) (5)SXF 入 出 力 バージョン Ver.2 形 式 と Ver.3.0 形 式 および Ver.3.1 形 式 の 入 出 力 機 能 を SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 改 訂 の 要 因 旧 新 (2013 年 4 月 版 ) 文 言 削 除 p.11(1. 基 本 事 項 ) (2) 保 証 すべき 実 数 の 精 度 p.5(1. 基 本 事 項 ) (2) 保 証 すべき 実 数 の 精 度 1. 用 紙 系 ( 線 種 ピッチ 等 用 紙 上 の 大 きさで

More information

縦 計 横 計 をSUM 関 数 で 一 度 に 計 算 する 縦 横 の 合 計 を 表 示 するセルが 計 算 対 象 となる セルと 隣 接 している 場 合 は 一 度 に 合 計 を 求 め ることができます 1 計 算 対 象 となるセル 範 囲 と 合 計 を 表 示 する セル 範

縦 計 横 計 をSUM 関 数 で 一 度 に 計 算 する 縦 横 の 合 計 を 表 示 するセルが 計 算 対 象 となる セルと 隣 接 している 場 合 は 一 度 に 合 計 を 求 め ることができます 1 計 算 対 象 となるセル 範 囲 と 合 計 を 表 示 する セル 範 数 式 や 関 数 を 利 用 する 合 計 を 計 算 するには 数 式 を 使 って 計 算 する 数 式 を 入 力 する 時 は 必 ず 半 角 英 数 字 で 入 力 し = から 入 力 を 開 始 します 1 合 計 を 表 示 したいセルを 選 択 します 2 = を 入 力 します 3 国 語 の 点 数 のセル(C4)をクリックします 4 + を 入 力 します 5 算 数 の

More information

POWER EGG V2.01 ユーザーズマニュアル ファイル管理編

POWER EGG V2.01 ユーザーズマニュアル ファイル管理編 POWER EGG V2.0 ユーザーズマニュアル ファイル 管 理 編 Copyright 2009 D-CIRCLE,INC. All Rights Reserved 2009.4 はじめに 本 書 では POWER EGG 利 用 者 向 けに 以 下 の POWER EGG のファイル 管 理 機 能 に 関 する 操 作 を 説 明 しま す なお 当 マニュアルでは ファイル 管 理 機

More information

2. 番 号 種 別 の 利 用 方 法 わが 国 の 番 号 方 式 に 照 らして INSネットでの 番 号 種 別 の 具 体 的 な 利 用 方 法 を 記 述 すると 以 下 のようにな ります (1) 番 号 種 別 (TON)= 不 定 電 話 サービスと 同 様 のダイヤル 手 順

2. 番 号 種 別 の 利 用 方 法 わが 国 の 番 号 方 式 に 照 らして INSネットでの 番 号 種 別 の 具 体 的 な 利 用 方 法 を 記 述 すると 以 下 のようにな ります (1) 番 号 種 別 (TON)= 不 定 電 話 サービスと 同 様 のダイヤル 手 順 付 録 25 番 号 設 定 方 法 の 原 則 1. 国 際 ISDN 番 号 の 構 造 国 際 ISDN 番 号 は ITU-T 勧 告 E.164において 規 定 されており その 構 造 は 付 図 1のようになり ます Country National Subscriber ISDN Code Destination Number Subaddress Code ( 国 番 号 ) (

More information

[2] 控 除 限 度 額 繰 越 欠 損 金 を 有 する 法 人 において 欠 損 金 発 生 事 業 年 度 の 翌 事 業 年 度 以 後 の 欠 損 金 の 繰 越 控 除 にあ たっては 平 成 27 年 度 税 制 改 正 により 次 ページ 以 降 で 解 説 する の 特 例 (

[2] 控 除 限 度 額 繰 越 欠 損 金 を 有 する 法 人 において 欠 損 金 発 生 事 業 年 度 の 翌 事 業 年 度 以 後 の 欠 損 金 の 繰 越 控 除 にあ たっては 平 成 27 年 度 税 制 改 正 により 次 ページ 以 降 で 解 説 する の 特 例 ( 相 談 ~ 改 正 に 伴 い 改 めて 整 理 しておきたい~ 法 人 税 における 繰 越 欠 損 金 制 度 米 澤 潤 平 部 東 京 室 平 成 27 年 度 および28 年 度 の 税 制 改 正 による 法 人 税 率 引 き 下 げに 伴 う 課 税 ベース 拡 大 の 一 環 として 繰 越 欠 損 金 制 度 についても 大 改 正 が 行 われました 今 回 は 繰 越 欠 損

More information

Microsoft Word - CiNii看護大2010.07

Microsoft Word - CiNii看護大2010.07 CiNii(サイニィ) Update:2010.07 看 護 大 版 図 書 館 ホームページ 情 報 検 索 データベース CiNii(サイニィ)とは (NII 論 文 情 報 ナビゲータ[サイニィ])は 学 協 会 刊 行 物 大 学 研 究 紀 要 国 立 国 会 図 書 館 の 雑 誌 記 事 索 引 データベースなど 学 術 論 文 情 報 を 検 索 の 対 象 としており 利 用 登

More information

Box-Jenkinsの方法

Box-Jenkinsの方法 Box-Jeks の 方 法 自 己 回 帰 AR 任 意 の 時 系 列 を 過 程 ARと 呼 ぶ で 表 す これが AR または AR m m m 個 の 過 去 の 値 に 依 存 する 時 これを 次 数 の 自 己 回 帰 ここで は 時 間 の 経 過 に 対 して 不 変 な 分 布 を 持 つ 系 列 相 関 のない 撹 乱 誤 差 項 である 期 待 値 一 定 の 分 散 σ

More information

1. 表 から 値 を 抽 出 する 説 明 1.1. 表 から 値 を 抽 出 するための 関 数 について 説 明 します LOOKUP VLOOKUP HLOOKUP 関 数 は 検 索 値 に 対 応 する 値 を 検 索 値 を 含 む 一 覧 表 から 抽 出 し てくれる 関 数 です

1. 表 から 値 を 抽 出 する 説 明 1.1. 表 から 値 を 抽 出 するための 関 数 について 説 明 します LOOKUP VLOOKUP HLOOKUP 関 数 は 検 索 値 に 対 応 する 値 を 検 索 値 を 含 む 一 覧 表 から 抽 出 し てくれる 関 数 です Lookup 関 数 Vlookup 関 数 Index 関 数 等 で 表 からデータを 抽 出 する1 目 次 Rev070924 こうすればできる 研 究 所 1. 表 から 値 を 抽 出 する 説 明... 3 2. Lookup 関 数 1( 検 査 値 配 列 を 選 択 )... 5 3. Lookup 関 数 2 検 査 値 検 査 範 囲 対 応 範 囲 を 選 択 して 扶 養

More information

Microsoft Word - 第3章.doc

Microsoft Word - 第3章.doc 第 3 章 関 数 この 章 では 日 付 と 時 刻 を 扱 う 関 数 や 検 索 条 件 に 一 致 するデータを 取 り 出 す 関 数 の 使 い 方 また 複 数 の 関 数 を 組 み 合 わせてエラー 値 を 非 表 示 にする 方 法 を 学 習 します STEP 1: 日 付 / 時 刻 関 数 TODAY 関 数 NOW 関 数 TODAY 関 数 は パソコンの 内 蔵 時

More information

1 変更の許可等(都市計画法第35条の2)

1 変更の許可等(都市計画法第35条の2) 第 12 章 市 街 化 調 整 区 域 内 の 土 地 における 建 築 等 の 制 限 1 開 発 許 可 を 受 けた 土 地 における 建 築 等 の 制 限 ( 都 市 計 画 法 第 42 条 ) 法 律 ( 開 発 許 可 を 受 けた 土 地 における 建 築 等 の 制 限 ) 第 四 十 二 条 何 人 も 開 発 許 可 を 受 けた 開 発 区 域 内 においては 第 三 十

More information

(2) 広 島 国 際 学 院 大 学 ( 以 下 大 学 という ) (3) 広 島 国 際 学 院 大 学 自 動 車 短 期 大 学 部 ( 以 下 短 大 という ) (4) 広 島 国 際 学 院 高 等 学 校 ( 以 下 高 校 という ) ( 学 納 金 の 種 類 ) 第 3 条

(2) 広 島 国 際 学 院 大 学 ( 以 下 大 学 という ) (3) 広 島 国 際 学 院 大 学 自 動 車 短 期 大 学 部 ( 以 下 短 大 という ) (4) 広 島 国 際 学 院 高 等 学 校 ( 以 下 高 校 という ) ( 学 納 金 の 種 類 ) 第 3 条 学 生 生 徒 等 の 納 入 金 に 関 する 規 則 平 成 15 年 12 月 16 日 規 則 第 19 号 沿 革 1 平 成 17 年 5 月 17 日 改 正 2 平 成 17 年 10 月 3 日 改 正 3 平 成 18 年 1 月 25 日 改 正 5 平 成 21 年 9 月 16 日 改 正 7 平 成 22 年 2 月 12 日 改 正 9 平 成 23 年 12 月 6

More information

1-1-2. 一 覧 表 ( 専 従 者 用 ) YES NOチャート( 専 従 月 額 単 価 用 ) (P.4)を 参 考 にしてください < 直 接 雇 用 者 > 一 覧 表 ( 専 従 者 用 )の 単 価 は 委 託 期 間 中 に 継 続 して 半 年 以 上 当 該 AMED 事 業

1-1-2. 一 覧 表 ( 専 従 者 用 ) YES NOチャート( 専 従 月 額 単 価 用 ) (P.4)を 参 考 にしてください < 直 接 雇 用 者 > 一 覧 表 ( 専 従 者 用 )の 単 価 は 委 託 期 間 中 に 継 続 して 半 年 以 上 当 該 AMED 事 業 について 別 紙 1-1. の 基 本 的 な 考 え 方 人 件 費 は 原 則 として 研 究 員 が 委 託 業 務 に 直 接 従 事 する 時 間 数 に 健 康 保 険 等 級 ( 健 保 等 級 ) 1 に 基 づく 表 の 単 価 を 乗 じて 算 出 します 研 究 員 の 区 分 に 基 づき 以 下 の2 種 類 の 一 覧 表 から を 決 定 してください 健 保 等 級

More information

(Microsoft PowerPoint - Ver12\203o\201[\203W\203\207\203\223\203A\203b\203v\216\221\227\277.ppt)

(Microsoft PowerPoint - Ver12\203o\201[\203W\203\207\203\223\203A\203b\203v\216\221\227\277.ppt) ACAD-DENKI DENKI Ver.12 新 機 能 / 改 善 機 能 アルファテック 株 式 会 社 1 新 機 能 改 善 機 能 一 覧 ACAD-DENKI/EL Ver.12 新 機 能 と 改 善 機 能 新 メニュー/ 新 機 能 拡 張 プロジェクト 管 理 外 部 端 子 コネクタ 端 子 ネット 分 割 化 リアルタイム 線 番 挿 入 改 善 項 目 図 題 情 報 編

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

   新潟市市税口座振替事務取扱要領

   新潟市市税口座振替事務取扱要領 昭 和 63 年 4 月 1 日 制 定 平 成 13 年 5 月 1 日 全 部 改 正 平 成 16 年 4 月 1 日 一 部 改 正 平 成 19 年 4 月 1 日 一 部 改 正 平 成 19 年 12 月 1 日 一 部 改 正 平 成 21 年 4 月 1 日 一 部 改 正 平 成 24 年 4 月 1 日 一 部 改 正 平 成 24 年 7 月 17 日 一 部 改 正 平 成

More information

平成16年年金制度改正 ~年金の昔・今・未来を考える~

平成16年年金制度改正 ~年金の昔・今・未来を考える~ 第 2 回 社 会 保 険 料 労 働 保 険 料 の 賦 課 対 象 となる 報 酬 等 の 範 囲 に 関 する 検 討 会 平 成 24 年 9 月 20 日 資 料 1 通 勤 手 当 について 1 これまでの 通 勤 に 要 する 費 用 に 関 する 考 え 方 では 通 勤 手 当 の 金 額 が 実 費 弁 償 的 に 算 定 される 場 合 でも それは 通 常 使 用 者 が 負

More information

PowerPoint Presentation

PowerPoint Presentation データを 圧 縮 する 大 量 のデータを 小 さく 収 納 するには? 国 立 情 報 学 研 究 所 定 兼 邦 彦 0 年 月 日 データとは データ 圧 縮 とは 数 値 の 集 まり,.5, 00, 3.4, 意 味 のあるデータが 情 報 文 字, 画 像, 音 声, 動 画 など コンピュータ 中 では, 全 てのデータは0,の 列 で 表 される 圧 縮 とは ビット データを 表

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63>

<4D F736F F D208ED089EF95DB8CAF89C193FC8FF38BB CC8EC091D492B28DB88C8B89CA82C982C282A282C42E646F63> 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 平 成 27 年 6 月 18 日 一 般 社 団 法 人 日 本 電 設 工 業 協 会 社 会 保 険 加 入 状 況 等 の 実 態 調 査 結 果 について 1. 調 査 の 目 的 社 会 保 険 加 入 促 進 計 画 の 計 画 期 間 (H24 年 度 ~H28 年 度 までの5 年 間 )の 中 間 時 点 として 1

More information

入札公告 機動装備センター

入札公告 機動装備センター 千 葉 県 一 般 競 争 入 札 公 告 県 警 第 18 号 機 動 装 備 センター 屋 内 舗 装 改 修 工 事 の 一 般 競 争 入 札 ( 事 後 審 査 型 )の 実 施 について 地 方 自 治 法 第 234 条 第 1 項 の 規 定 により 一 般 競 争 入 札 を 次 のとおり 実 施 する なお この 入 札 は ちば 電 子 調 達 システムに 係 る 電 子 入

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

事前チェック提出用現況報告書作成ツール入力マニュアル(法人用)

事前チェック提出用現況報告書作成ツール入力マニュアル(法人用) 事 前 チェック 提 出 用 現 況 報 告 書 作 成 ツール 入 力 マニュアル ( 法 人 用 ) 平 成 26 年 7 月 一 般 社 団 法 人 日 本 補 償 コンサルタント 協 会 目 次 1. ツールの 概 要 1 2. 動 作 環 境 1 3. マクロの 設 定 (1) Excel のバージョンの 確 認 2 (2) マクロの 設 定 3 4. 現 況 報 告 書 の 作 成 (1)

More information

Taro-2220(修正).jtd

Taro-2220(修正).jtd 株 式 会 社 ( 募 集 株 式 の 発 行 ) 株 式 会 社 変 更 登 記 申 請 書 1. 商 号 商 事 株 式 会 社 1. 本 店 県 市 町 丁 目 番 号 1. 登 記 の 事 由 募 集 株 式 発 行 ( 情 報 番 号 2220 全 25 頁 ) 1. 登 記 すべき 事 項 変 更 ( 注 ) 変 更 の 年 月 日 は, 払 込 期 日 又 は 払 込 期 間 の 末

More information

入 札 参 加 資 格 申 請 システム 操 作 マニュアル 入 札 参 加 資 格 の 資 格 有 効 ( 変 更 ) 日 を 迎 えると 追 加 届 の 登 録 ができるようになります ( 入 札 参 加 資 格 申 請 の 定 時 受 付 では いずれかの 申 請 先 団 体 から 入 札 参

入 札 参 加 資 格 申 請 システム 操 作 マニュアル 入 札 参 加 資 格 の 資 格 有 効 ( 変 更 ) 日 を 迎 えると 追 加 届 の 登 録 ができるようになります ( 入 札 参 加 資 格 申 請 の 定 時 受 付 では いずれかの 申 請 先 団 体 から 入 札 参 あいち 電 子 調 達 共 同 システム( 物 品 等 ) 入 札 参 加 資 格 申 請 システム 操 作 マニュアル - 業 者 - 目 次... 8-1 8-1 本 店 ID( 業 者 用 ID)の 確 認 ~ 初 期 パスワード 変 更... 8-3 8-1-1 入 札 参 加 資 格 申 請 システム メニュー... 8-3 8-1-2 契 約 営 業 所 等 ID 確 認 (パスワード

More information

(2) 共 通 費 について 第 2 編 共 通 費 2 12 共 通 費 算 定 に 関 する 数 値 の 取 り 扱 い (1) 積 み 上 げによる 算 定 積 み 上 げによる 算 定 は 第 3 編 18に 準 ずる (2) 率 による 算 定 公 共 建 築 工 事 共 通 費 積 算

(2) 共 通 費 について 第 2 編 共 通 費 2 12 共 通 費 算 定 に 関 する 数 値 の 取 り 扱 い (1) 積 み 上 げによる 算 定 積 み 上 げによる 算 定 は 第 3 編 18に 準 ずる (2) 率 による 算 定 公 共 建 築 工 事 共 通 費 積 算 公 共 建 築 工 事 積 算 基 準 等 資 料 工 事 費 積 算 における 数 値 の 取 扱 い( 例 ) 1. はじめに 工 事 費 積 算 における 単 価 採 用 や 単 価 算 定 等 に 係 る 数 値 の 取 扱 いについては 公 共 建 築 工 事 積 算 基 準 等 資 料 ( 以 下 積 算 基 準 資 料 という )にその 運 用 等 が 定 められて いる 本 資 料

More information

平 成 27 年 11 月 ~ 平 成 28 年 4 月 に 公 開 の 対 象 となった 専 門 協 議 等 における 各 専 門 委 員 等 の 寄 附 金 契 約 金 等 の 受 取 状 況 審 査 ( 別 紙 ) 専 門 協 議 等 の 件 数 専 門 委 員 数 500 万 円 超 の 受

平 成 27 年 11 月 ~ 平 成 28 年 4 月 に 公 開 の 対 象 となった 専 門 協 議 等 における 各 専 門 委 員 等 の 寄 附 金 契 約 金 等 の 受 取 状 況 審 査 ( 別 紙 ) 専 門 協 議 等 の 件 数 専 門 委 員 数 500 万 円 超 の 受 資 料 5-1 平 成 28 年 6 月 16 日 専 門 協 議 等 の 実 施 に 関 する 各 専 門 委 員 における 寄 附 金 契 約 金 等 の 受 取 状 況 承 認 審 査 及 び 安 全 対 策 に 係 る 専 門 協 議 等 を 依 頼 した 専 門 委 員 の 寄 附 金 契 約 金 等 の 受 取 状 況 については 医 薬 品 医 療 機 器 総 合 機 構 における 専

More information

目 次 1. 積 算 内 訳 書 に 関 する 留 意 事 項 1 ページ 2. 積 算 内 訳 書 のダウンロード 3 ページ 3. 積 算 内 訳 書 の 作 成 (Excel 2003の 場 合 ) 6 ページ 4. 積 算 内 訳 書 の 作 成 (Excel 2007の 場 合 ) 13

目 次 1. 積 算 内 訳 書 に 関 する 留 意 事 項 1 ページ 2. 積 算 内 訳 書 のダウンロード 3 ページ 3. 積 算 内 訳 書 の 作 成 (Excel 2003の 場 合 ) 6 ページ 4. 積 算 内 訳 書 の 作 成 (Excel 2007の 場 合 ) 13 積 算 内 訳 書 の 作 成 マニュアル 平 成 26 年 1 形 県 県 整 備 部 建 設 企 画 課 目 次 1. 積 算 内 訳 書 に 関 する 留 意 事 項 1 ページ 2. 積 算 内 訳 書 のダウンロード 3 ページ 3. 積 算 内 訳 書 の 作 成 (Excel 2003の 場 合 ) 6 ページ 4. 積 算 内 訳 書 の 作 成 (Excel 2007の 場 合 )

More information

参加表明書・企画提案書様式

参加表明書・企画提案書様式 秋 田 市 道 路 除 排 雪 車 両 運 行 管 理 システム( 仮 称 ) 導 入 業 務 委 託 公 募 型 プロポーザル 参 加 表 明 書 企 画 提 案 書 様 式 平 成 25 年 7 月 秋 田 市 建 設 部 道 路 維 持 課 ( 様 式 1) 参 加 表 明 書 業 務 の 名 称 秋 田 市 除 排 雪 車 両 運 行 管 理 システム( 仮 称 ) 導 入 業 務 委 託

More information

関数の構造

関数の構造 Microsoft Excel ~ 関 数 編 ~ 2008 年 5 月 更 新 明 治 大 学 目 次 1. 関 数 の 入 力 2 1.1. 関 数 とは 2 1.2. 関 数 の 基 本 構 造 2 1.3. 関 数 の 入 力 方 法 3 2. よく 使 う 関 数 4 2.1. SUM 関 数 4 2.2. AVERAGE 関 数 5 2.3. RANK 関 数 5 2.4. IF 関 数

More information

( 別 紙 ) 以 下 法 とあるのは 改 正 法 第 5 条 の 規 定 による 改 正 後 の 健 康 保 険 法 を 指 す ( 施 行 期 日 は 平 成 28 年 4 月 1 日 ) 1. 標 準 報 酬 月 額 の 等 級 区 分 の 追 加 について 問 1 法 改 正 により 追 加

( 別 紙 ) 以 下 法 とあるのは 改 正 法 第 5 条 の 規 定 による 改 正 後 の 健 康 保 険 法 を 指 す ( 施 行 期 日 は 平 成 28 年 4 月 1 日 ) 1. 標 準 報 酬 月 額 の 等 級 区 分 の 追 加 について 問 1 法 改 正 により 追 加 別 添 事 務 連 絡 平 成 27 年 12 月 18 日 日 本 年 金 機 構 厚 生 年 金 保 険 部 長 殿 厚 生 労 働 省 年 金 局 事 業 管 理 課 長 持 続 可 能 な 医 療 保 険 制 度 を 構 築 するための 国 民 健 康 保 険 法 等 の 一 部 を 改 正 する 法 律 による 健 康 保 険 法 及 び 船 員 保 険 法 改 正 内 容 の 一 部 に

More information

することにより 便 名 が 重 複 しないように 入 力 する ( 入 力 例 ) 便 名 入 力 便 名 XY1 XY0001 XY23 XY0023 XY345 XY0345 XY4567 XY4567 プライベート 機 等 (1 便 目 ) 999999 プライベート 機 等 (2 便 目 )

することにより 便 名 が 重 複 しないように 入 力 する ( 入 力 例 ) 便 名 入 力 便 名 XY1 XY0001 XY23 XY0023 XY345 XY0345 XY4567 XY4567 プライベート 機 等 (1 便 目 ) 999999 プライベート 機 等 (2 便 目 ) 第 3 節 乗 員 上 陸 許 可 申 請 入 港 した 航 空 機 に 搭 乗 し 入 国 する 乗 組 員 に 関 する 次 の 手 続 を 行 う 場 合 は この 節 の 定 めるところに よる 手 続 届 出 先 官 庁 数 次 乗 員 上 陸 許 可 を 受 けている 乗 員 が 乗 り 込 んでいるときの 報 告 入 国 管 理 局 乗 員 上 陸 許 可 申 請 1 条 件 乗 員

More information

4.5. < 参 加 表 明 書 を 提 出 する> 調 達 案 件 一 覧 の 表 示 対 象 となる 案 件 を 検 索 し 調 達 案 件 一 覧 に 表 示 させます 参 加 したい 案 件 の 調 達 案 件 名 称 行 - 入 札 参 加 資 格 確 認 申 請 / 技 術 資 料 /

4.5. < 参 加 表 明 書 を 提 出 する> 調 達 案 件 一 覧 の 表 示 対 象 となる 案 件 を 検 索 し 調 達 案 件 一 覧 に 表 示 させます 参 加 したい 案 件 の 調 達 案 件 名 称 行 - 入 札 参 加 資 格 確 認 申 請 / 技 術 資 料 / 目 次... 4-46 4.5. < 参 加 表 明 書 を 提 出 する>... 4-46 4.5.2 < 参 加 表 明 書 受 付 票 を 表 示 する>... 4-55 4.5.3 < 選 定 通 知 書 ( 非 選 定 通 知 書 )を 表 示 する>... 4-58 4.5.4 < 技 術 提 案 書 を 提 出 する>... 4-6 4.5.5 < 技 術 提 案 書 受 付 票 を

More information

容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保 することを 目 的 として 行 われており 市 街 地 環

容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保 することを 目 的 として 行 われており 市 街 地 環 資 料 2-2 容 積 率 規 制 等 について Ministry of Land, Infrastructure, Transport and Tourism 容 積 率 制 限 の 概 要 1 容 積 率 制 限 の 目 的 地 域 で 行 われる 各 種 の 社 会 経 済 活 動 の 総 量 を 誘 導 することにより 建 築 物 と 道 路 等 の 公 共 施 設 とのバランスを 確 保

More information

Microsoft Word - 構造振動特論-08回-2012.doc

Microsoft Word - 構造振動特論-08回-2012.doc 最 終 印 刷 日 時 :/6/ 8:6: 8 第 8 回 数 値 積 分 法 8. 数 値 積 分 法 の 概 要 図 8- に 示 す 質 点 自 由 度 系 モデルにおいて, 地 面 から 加 速 度 y&& が 作 用 しているときの 運 動 方 程 式 は 式 (8.)で 表 される. y && + cy& + ky = y && (8.) 以 下 では,この 運 動 方 程 式 を 数

More information

2016 年 度 情 報 リテラシー 三 科 目 合 計 の 算 出 関 数 を 用 いて 各 教 科 の 平 均 点 と 最 高 点 を 求 めることにする この2つの 計 算 は [ホーム]タブのコマ ンドにも 用 意 されているが 今 回 は 関 数 として 作 成 する まず 表 に 三 科

2016 年 度 情 報 リテラシー 三 科 目 合 計 の 算 出 関 数 を 用 いて 各 教 科 の 平 均 点 と 最 高 点 を 求 めることにする この2つの 計 算 は [ホーム]タブのコマ ンドにも 用 意 されているが 今 回 は 関 数 として 作 成 する まず 表 に 三 科 ( 第 9 回 )2016/06/13 Excel 関 数 の 基 礎 この 回 では Excel での 数 値 処 理 に 役 立 つ 関 数 について 解 説 する 1. 課 題 の 確 認 成 績 の 集 計 について 関 数 を 利 用 して 行 う 利 用 するソフトウェア:Microsoft Excel 1.1. 演 習 の 内 容 関 数 は 表 計 算 ソフトで 数 値 処 理 を

More information

FPGA と LUPO その1

FPGA と LUPO その1 FPGA Lecture for LUPO and GTO Vol. 1 2010, 31 August (revised 2013, 19 November) H. Baba Contents FPGA の概要 LUPO の基本的な使い方 New Project Read and Write 基本的な Behavioral VHDL simulation Firmware のダウンロード FPGA

More information

2 一 般 行 政 職 給 料 表 の 状 況 ( 平 成 24 年 4 月 1 日 現 在 ) 1 級 2 級 3 級 4 級 5 級 ( 単 位 : ) 6 級 7 級 8 級 1 号 給 の 給 料 月 額 135,6 185,8 222,9 261,9 289,2 32,6 366,2 41

2 一 般 行 政 職 給 料 表 の 状 況 ( 平 成 24 年 4 月 1 日 現 在 ) 1 級 2 級 3 級 4 級 5 級 ( 単 位 : ) 6 級 7 級 8 級 1 号 給 の 給 料 月 額 135,6 185,8 222,9 261,9 289,2 32,6 366,2 41 の 給 与 定 員 管 理 等 について 1 総 括 (1) 件 費 の 状 況 ( 普 通 会 計 決 算 ) 住 民 基 本 台 帳 口 歳 出 額 実 質 収 支 件 費 件 費 率 ( 参 考 ) (23 年 度 末 ) A B B/A 22 年 度 の 件 費 率 23 年 度 93,8 33,985,381 9,329 5,769,881 17. 17.8 (2) 職 員 給 与 費 の

More information

2 立 候 補 するには 次 に 掲 げる 条 件 を 満 たしていることとする (1) 理 事 又 は 評 議 員 2 名 以 上 の 推 薦 があること (2) 連 続 5 年 以 上 本 学 会 の 正 会 員 で 会 費 を 完 納 していること (3) 選 考 が 行 われる 前 年 の1

2 立 候 補 するには 次 に 掲 げる 条 件 を 満 たしていることとする (1) 理 事 又 は 評 議 員 2 名 以 上 の 推 薦 があること (2) 連 続 5 年 以 上 本 学 会 の 正 会 員 で 会 費 を 完 納 していること (3) 選 考 が 行 われる 前 年 の1 一 般 社 団 法 人 日 本 潰 瘍 学 会 選 挙 規 程 第 1 章 総 則 ( 目 的 ) 第 1 条 この 選 挙 規 程 は 一 般 社 団 法 人 日 本 潰 瘍 学 会 ( 以 下 当 法 人 という )の 次 に 掲 げる 選 挙 規 定 について 定 める (1) 評 議 員 を 選 任 するための 選 挙 (2) 理 事 及 び 監 事 を 選 任 するための 選 挙 ( 決

More information

2011論稿_吉川宏之.indd

2011論稿_吉川宏之.indd 論 稿 QRコードを 利 用 したpdfファイルのメール 送 信 長 岡 大 学 准 教 授 吉 川 宏 之 はじめに 紙 面 データのデジタル 化 において イメージスキャナで 読 み 取 る 場 合 1つのファイル または 指 定 した 枚 数 ごとにまとめられた 複 数 のファイルが 作 成 される 例 えば 授 業 で 回 収 したレポートを 学 生 に 返 却 する 場 合 以 下 の 手

More information

(Microsoft Word - Excel\211\236\227p2\217\315.docx)

(Microsoft Word - Excel\211\236\227p2\217\315.docx) この 章 では 日 付 と 時 刻 を 扱 う 関 数 や 複 数 の 関 数 を 組 み 合 わせてエラーを 非 表 示 にする 方 法 また 検 索 条 件 に 一 致 するデータを 取 り 出 す 関 数 の 使 い 方 などについて 学 習 します 1 日 付 と 時 刻 の 関 数 TODAY 関 数 NOW 関 数 TODAY 関 数 は パソコンの 内 蔵 時 計 を 利 用 して 現

More information

前 年 度 に 比 べて 税 額 が 増 加 する 主 なケース < 土 地 > ア. 課 税 地 目 が 変 わった 前 年 中 に 農 地 から 雑 種 地 ( 駐 車 場 等 )や 宅 地 ( 住 宅 や 店 舗 )へ 変 わると 税 額 が 増 加 します イ. 家 屋 ( 住 宅 や 併

前 年 度 に 比 べて 税 額 が 増 加 する 主 なケース < 土 地 > ア. 課 税 地 目 が 変 わった 前 年 中 に 農 地 から 雑 種 地 ( 駐 車 場 等 )や 宅 地 ( 住 宅 や 店 舗 )へ 変 わると 税 額 が 増 加 します イ. 家 屋 ( 住 宅 や 併 固 定 資 産 ( 土 地 家 屋 ) 課 税 明 細 書 の 見 方 固 定 資 産 ( 土 地 家 屋 ) 課 税 明 細 書 は あなたが 賦 課 期 日 ( 本 年 の1 月 1 日 ) 現 在 所 有 し 固 定 資 産 税 都 市 計 画 税 の 課 税 対 象 となっている 固 定 資 産 ( 土 地 家 屋 )の 状 況 について 記 載 している 大 切 な 書 類 で す 必 ず

More information

1. 業 務 概 要 貨 物 情 報 登 録 済 の 貨 物 に 対 して システムを 介 さずに 行 われた 税 関 手 続 きについて 税 関 が 許 可 承 認 等 を 行 った 旨 を 登 録 する また システムで 行 われた 以 下 の 税 関 手 続 き( 以 下 輸 出 申 告 等

1. 業 務 概 要 貨 物 情 報 登 録 済 の 貨 物 に 対 して システムを 介 さずに 行 われた 税 関 手 続 きについて 税 関 が 許 可 承 認 等 を 行 った 旨 を 登 録 する また システムで 行 われた 以 下 の 税 関 手 続 き( 以 下 輸 出 申 告 等 3012. 許 可 承 認 等 情 報 登 録 ( 輸 出 通 関 ) 業 務 コード 業 務 名 A 許 可 承 認 等 情 報 登 録 ( 輸 出 通 関 ) 1. 業 務 概 要 貨 物 情 報 登 録 済 の 貨 物 に 対 して システムを 介 さずに 行 われた 税 関 手 続 きについて 税 関 が 許 可 承 認 等 を 行 った 旨 を 登 録 する また システムで 行 われた

More information

Microsoft Word - FrontMatter.doc

Microsoft Word - FrontMatter.doc SAS 認 定 プロフェッショナルのための Base Programming for SAS 9 完 全 ガイド ii このマニュアルの 正 確 な 書 誌 情 報 は 以 下 のとおりです SAS 認 定 プロフェッショナルのための Base Programming for SAS 9 完 全 ガイド Copyright 2009, SAS Institute Inc., Cary, NC, USA

More information

企業結合ステップ2に関連するJICPA実務指針等の改正について③・資本連結実務指針(その2)

企業結合ステップ2に関連するJICPA実務指針等の改正について③・資本連結実務指針(その2) 会 計 監 査 企 業 結 合 ステップ2に 関 連 するJICPA 実 務 指 針 等 の 改 正 について3 資 本 連 結 実 務 指 針 (その2) 公 認 会 計 士 長 ながぬま 沼 ようすけ 洋 佑 1.はじめに 平 成 26 年 2 月 24 日 日 本 公 認 会 計 士 協 会 (JICPA)は 企 業 会 計 基 準 委 員 会 (ASBJ)によ り 平 成 25 年 9 月

More information

(1)1オールゼロ 記 録 ケース 厚 生 年 金 期 間 A B 及 びCに 係 る 旧 厚 生 年 金 保 険 法 の 老 齢 年 金 ( 以 下 旧 厚 老 という )の 受 給 者 に 時 効 特 例 法 施 行 後 厚 生 年 金 期 間 Dが 判 明 した Bは 事 業 所 記 号 が

(1)1オールゼロ 記 録 ケース 厚 生 年 金 期 間 A B 及 びCに 係 る 旧 厚 生 年 金 保 険 法 の 老 齢 年 金 ( 以 下 旧 厚 老 という )の 受 給 者 に 時 効 特 例 法 施 行 後 厚 生 年 金 期 間 Dが 判 明 した Bは 事 業 所 記 号 が 参 考 資 料 2 時 効 特 例 給 付 の 業 務 実 態 等 に 関 す る 調 査 結 果 に つ い て ( 報 告 書 で 取 り 上 げられた 10 ケースに 該 当 する 事 例 の 具 体 的 イメージ) (1)1オールゼロ 記 録 ケース 厚 生 年 金 期 間 A B 及 びCに 係 る 旧 厚 生 年 金 保 険 法 の 老 齢 年 金 ( 以 下 旧 厚 老 という )の

More information

Ver 改 訂 日 付 改 訂 内 容 1

Ver 改 訂 日 付 改 訂 内 容 1 大 学 評 価 データベースシステム 一 括 登 録 マニュアル ( 第 1.00 版 ) SRA 東 北 Ver 改 訂 日 付 改 訂 内 容 1 目 次 1. 大 学 評 価 データベースの 使 用 方 法 について...3 1.1.データ 一 括 登 録...3 1.1.1. 一 括 登 録 の 目 的...3 1.1.2. 一 括 登 録 の 利 用 上 での 注 意 点...3 1.1.3.

More information

している 5. これに 対 して 親 会 社 の 持 分 変 動 による 差 額 を 資 本 剰 余 金 として 処 理 した 結 果 資 本 剰 余 金 残 高 が 負 の 値 となるような 場 合 の 取 扱 いの 明 確 化 を 求 めるコメントが 複 数 寄 せられた 6. コメントでは 親

している 5. これに 対 して 親 会 社 の 持 分 変 動 による 差 額 を 資 本 剰 余 金 として 処 理 した 結 果 資 本 剰 余 金 残 高 が 負 の 値 となるような 場 合 の 取 扱 いの 明 確 化 を 求 めるコメントが 複 数 寄 せられた 6. コメントでは 親 第 265 回 企 業 会 計 基 準 委 員 会 資 料 番 号 日 付 審 議 事 項 (3) 2013 年 5 月 29 日 プロジェクト 項 目 企 業 結 合 (ステップ2) 公 開 草 案 に 対 するコメントへの 対 応 I. 検 討 の 経 緯 1. 平 成 25 年 1 月 に 企 業 会 計 基 準 公 開 草 案 第 49 号 企 業 結 合 に 関 する 会 計 基 準 (

More information

Prog1_14th

Prog1_14th 2012 年 7 月 19 日 ( 木 ) 実 施 構 造 体 レコードと 構 造 体 前 々 回 の 教 材 で 触 れたように, 複 数 の 項 目 に 渡 るデータを 一 まとめにしたものをレコードとい う 例 えば, 次 のように 学 籍 番 号, 氏 名, 履 修 科 目 コード, 点 数, 評 価 といった 項 目 による 1 人 分 のデータを 一 まとめにしたものは 1 件 分 のレコードである

More information

<4D6963726F736F667420576F7264202D208DEC90AC837D836A83858341838B81698F4390B394C5816A2E646F63>

<4D6963726F736F667420576F7264202D208DEC90AC837D836A83858341838B81698F4390B394C5816A2E646F63> + = 付 録 Ⅰ マクロのフローチャート 開 始 キーワード 数 と 文 章 数 をカウントする Yes 全 ての 文 章 番 号 (1 0) を 照 合 したか No 全 ての 文 章 番 号 (data) を 照 合 したか Yes No 文 章 番 号 (1 0) が 文 章 番 号 (data) と 等 しいか Yes No 値 を 一 行 全 て 0にする 値 を 一

More information

図 1 抱 合 株 式 がない 場 合 の 非 適 格 合 併 により 増 加 する 資 本 金 等 の 額 の 計 算 合 併 法 人 株 式 の 価 額 - 移 転 純 資 産 価 額 (2) 合 併 法 人 株 式 等 のみなし 株 式 割 当 等 会 社 法 上 抱 合 株 式 には 合 併

図 1 抱 合 株 式 がない 場 合 の 非 適 格 合 併 により 増 加 する 資 本 金 等 の 額 の 計 算 合 併 法 人 株 式 の 価 額 - 移 転 純 資 産 価 額 (2) 合 併 法 人 株 式 等 のみなし 株 式 割 当 等 会 社 法 上 抱 合 株 式 には 合 併 株 主 の 立 場 から 理 解 する 抱 合 株 式 に 係 る 資 本 金 等 の 額 の 計 算 Profession Journal No.7(2013 年 2 月 21 日 )に 掲 載 税 理 士 内 藤 忠 大 だきあわせかぶしき 合 併 法 人 が 有 する 被 合 併 法 人 の 株 式 のことを 抱 合 株 式 といいます 法 人 税 法 施 行 令 8 条 1 項 5 号 (

More information

Taro-H19退職金(修正版).jtd

Taro-H19退職金(修正版).jtd 調 査 結 果 の 概 要 1 退 職 金 制 度 (1) 採 用 状 況 ( 表 1) 集 計 第 1 表 第 2 表 退 職 金 制 度 の 採 用 状 況 をみると 退 職 一 時 金 制 度 のみ 14 社 ( 退 職 金 制 度 採 用 企 業 246 社 の5.7 %) 退 職 年 金 制 度 のみ 27 社 ( 同 11.0%) 退 職 一 時 金 制 度 と 退 職 年 金 制 度

More information

いう )は 警 告 をしたときは 速 やかに その 内 容 及 び 日 時 を 当 該 警 告 を 求 める 旨 の 申 出 をした 者 に 通 知 しなければならないこととされ また 警 告 をし なかったときは 速 やかに その 旨 及 び 理 由 を 当 該 警 告 を 求 める 旨 の 申

いう )は 警 告 をしたときは 速 やかに その 内 容 及 び 日 時 を 当 該 警 告 を 求 める 旨 の 申 出 をした 者 に 通 知 しなければならないこととされ また 警 告 をし なかったときは 速 やかに その 旨 及 び 理 由 を 当 該 警 告 を 求 める 旨 の 申 ストーカー 行 為 等 の 規 制 等 に 関 する 法 律 の 一 部 を 改 正 する 法 律 の 施 行 について ( 平 成 25 年 7 月 16 日 付 け 通 達 香 生 企 第 311 号 ) ストーカー 行 為 等 の 規 制 等 に 関 する 法 律 の 一 部 を 改 正 する 法 律 ( 平 成 25 年 法 律 第 73 号 以 下 改 正 法 という( 別 添 官 報 参

More information

Sea-NACCS 利用者研修 【通関編】

Sea-NACCS 利用者研修 【通関編】 第 1 回 更 改 専 門 部 会 資 料 2 更 改 専 門 部 会 における 主 な 検 討 事 項 2012 年 5 月 28 日 輸 出 入 港 湾 関 連 情 報 処 理 センター 1. 次 期 システムのあり 方 における 開 発 コンセプト 官 民 共 同 利 用 の 基 幹 システムとして 安 定 性 信 頼 性 の 高 いシステムの 実 現 システムの 安 定 性 信 頼 性 を

More information

1 林 地 台 帳 整 備 マニュアル( 案 )について 林 地 台 帳 整 備 マニュアル( 案 )の 構 成 構 成 記 載 内 容 第 1 章 はじめに 本 マニュアルの 目 的 記 載 内 容 について 説 明 しています 第 2 章 第 3 章 第 4 章 第 5 章 第 6 章 林 地

1 林 地 台 帳 整 備 マニュアル( 案 )について 林 地 台 帳 整 備 マニュアル( 案 )の 構 成 構 成 記 載 内 容 第 1 章 はじめに 本 マニュアルの 目 的 記 載 内 容 について 説 明 しています 第 2 章 第 3 章 第 4 章 第 5 章 第 6 章 林 地 ( 資 料 3) 林 地 台 帳 及 び 地 図 整 備 マニュアル( 案 ) 概 要 本 資 料 は 現 時 点 での 検 討 状 況 を 基 に 作 成 したものであり 今 後 事 務 レベルの 検 討 会 等 を 経 て 成 案 を 得 ることとしてい ます 平 成 28 年 7 月 林 野 庁 計 画 課 1 林 地 台 帳 整 備 マニュアル( 案 )について 林 地 台 帳 整 備 マニュアル(

More information

PATENTBOY/Netバージョンアップ説明書(Ver.1.92)

PATENTBOY/Netバージョンアップ説明書(Ver.1.92) PATENTBOY/Net (Ver.1.92) バージョンアップ 説 明 書 PATENTBOY/Net (Ver.1.92) 意 匠 商 標 PATENTBOY/Net (Ver.1.92)は インターネット 出 願 ソフト Ver.[i1.92]に 対 応 したバージョンです 印 は Word2007 版 についてのみの 記 載 です PATENTBOY/Net Jr.+ (Ver.1.92)

More information

スライド 0

スライド 0 SkyOnDemandオンラインセミナー ~ 第 2 回 : 基 本 編 ~ さわってみよう1 取 引 先 データをSalesforceにファイル 連 携 2014 年 6 月 19 日 ( 木 ) 事 前 確 認 音 声 や 映 像 が 遅 延 している 場 合 は こちらをクリックして ください ご 質 問 や 音 声 が 途 切 れたなどござい ましたら こちらよりコメントください 本 日 のテーマ

More information

(別紙3)保険会社向けの総合的な監督指針の一部を改正する(案)

(別紙3)保険会社向けの総合的な監督指針の一部を改正する(案) 監 督 指 針 Ⅱ 保 険 監 督 上 の 評 価 項 目 Ⅱ-2-7 商 品 開 発 に 係 る 内 部 管 理 態 勢 Ⅱ-2-7-2 主 な 着 眼 点 (1)~(4) (5) 関 連 部 門 との 連 携 1~3 4 関 連 部 門 は 販 売 量 拡 大 や 収 益 追 及 を 重 視 する 例 えば 営 業 推 進 部 門 や 収 益 部 門 から 不 当 な 影 響 を 受 けることなく

More information

Microsoft PowerPoint - 06 資料6 技術基準.ppt [互換モード]

Microsoft PowerPoint - 06  資料6 技術基準.ppt [互換モード] 資 料 6 通 信 放 送 の 法 体 系 における 技 術 基 準 について 平 成 21 年 2 月 27 日 現 行 の 技 術 基 準 の 概 要 放 送 中 止 事 故 への 対 処 1 現 在 の 技 術 基 準 の 概 要 1.コンテンツに 係 る 技 術 基 準 (1) 目 的 放 送 の 品 質 の 確 保 受 信 端 末 の 安 定 的 な 供 給 の 確 保 等 (2) 概 要

More information

事 業 概 要 利 用 時 間 休 館 日 使 用 方 法 使 用 料 施 設 を 取 り 巻 く 状 況 や 課 題 < 松 山 駅 前 駐 輪 場 > JR 松 山 駅 を 利 用 する 人 の 自 転 車 原 付 を 収 容 する 施 設 として 設 置 され 有 料 駐 輪 場 の 利 用

事 業 概 要 利 用 時 間 休 館 日 使 用 方 法 使 用 料 施 設 を 取 り 巻 く 状 況 や 課 題 < 松 山 駅 前 駐 輪 場 > JR 松 山 駅 を 利 用 する 人 の 自 転 車 原 付 を 収 容 する 施 設 として 設 置 され 有 料 駐 輪 場 の 利 用 駐 輪 場 ( 都 市 整 備 部 総 合 交 通 課 所 管 ) 市 が 設 置 している 有 料 駐 輪 場 は 市 内 に 2か 所 あります 松 山 駅 前 駐 輪 場 基 本 情 報 施 設 名 所 在 地 敷 地 面 積 構 造 階 層 延 べ 面 積 建 築 年 管 理 形 態 敷 地 の 状 態 松 山 駅 前 駐 輪 場 三 番 町 八 丁 目 364-6 681.25 m2 軽

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 株 式 会 社 化 に 伴 う から 特 定 の 員 への 株 式 譲 渡 に 係 る 課 税 関 係 と 手 続 きについて 平 成 20 年 2 月 商 工 中 金 当 資 料 は 貴 において 本 件 取 引 に 関 する 検 討 をされるに 際 して ご 参 考 のための 情 報 提 供 のみを 目 的 として 国 税 庁 の 確 認 を 受 けた 内 容 に 基 づき 商 工 中 金 が

More information

H28記入説明書(納付金・調整金)8

H28記入説明書(納付金・調整金)8 1 常 用 雇 用 労 働 者 の 総 数 の 把 握 ( STEP1 ) (1) 常 用 雇 用 労 働 者 とは 障 害 者 雇 用 納 付 金 制 度 における 常 用 雇 用 労 働 者 とは あなたの 企 業 で の 形 式 の 如 何 を 問 わず 1 雇 用 ( 契 約 ) の 定 めがなく 雇 用 されている 労 働 者 及 び 一 定 の 雇 用 ( 契 約 ) を 定 めて 雇

More information

RSA FA FA AND Booth FA FA RSA 3 4 5

RSA FA FA AND Booth FA FA RSA 3 4 5 RSA High-Speed Multiplication for RSA ode using Redundant Binary System 6585 6 6 RSA FA FA AND Booth FA FA RSA 3 4 5 This paper summarizes High-Speed Multiplication for RSA ode using Redundant Binary System,

More information

外形標準課税に関するQ&A

外形標準課税に関するQ&A 72 12 72 23 72 12 72 12 72 14 72 21 2 17 17 3 72 18 20 2 1115 72 15 20 2 25 72 16 20 2 68 72 17 20 2 910 72 21 20 2 1920 72 21 72 15 72 20 20 2 18 72 19 20 2 1617 939 72 22 20 2 2123 72 2 )

More information

3 地 震 保 険 の 割 引 地 震 保 険 に 加 入 されている 場 合 耐 震 改 修 後 保 険 料 の 割 引 (10%)が 受 けられる 場 合 があ ります ご 加 入 の 保 険 会 社 にお 問 合 せになり 宅 耐 震 改 修 証 明 書 の 写 し あるいは 固 定 資 産

3 地 震 保 険 の 割 引 地 震 保 険 に 加 入 されている 場 合 耐 震 改 修 後 保 険 料 の 割 引 (10%)が 受 けられる 場 合 があ ります ご 加 入 の 保 険 会 社 にお 問 合 せになり 宅 耐 震 改 修 証 明 書 の 写 し あるいは 固 定 資 産 川 崎 市 木 造 宅 耐 震 改 修 制 度 を 利 用 された 方 へ 得 税 額 の 特 別 控 除 固 定 資 産 税 ( 家 屋 )の 減 額 資 料 3 についての 御 案 内 平 成 26 年 4 月 1 日 以 降 に 耐 震 改 修 を 行 った 場 合 1 得 税 の 特 別 控 除 耐 震 改 修 が 完 了 した 年 の 翌 年 に 必 要 書 類 を 添 付 して 管 の

More information

長崎市民間建築物耐震化推進事業の概要

長崎市民間建築物耐震化推進事業の概要 平 成 27 年 度 版 お 問 合 せ 先 長 崎 市 建 築 指 導 課 指 導 係 電 話 095-829-74 要 緊 急 安 全 確 認 大 規 模 建 築 物 に 対 する 助 成 事 業 の 概 要 平 成 25 年 月 25 日 に 施 行 された 改 正 耐 震 改 修 促 進 法 により 一 定 規 模 以 上 の 大 規 模 建 築 物 について 耐 震 診 断 の 実 施 と

More information

目 次 1. 論 理 関 数 IF... 1 2. IF の 概 要... 1 3. 論 理 式 の 種 類... 2 3.1.1. 等 号... 2 3.1.2. 不 等 号... 2 4. 具 体 的 な 使 い 方... 2 5. ネスト... 3 6. 複 数 の 条 件 を 記 述...

目 次 1. 論 理 関 数 IF... 1 2. IF の 概 要... 1 3. 論 理 式 の 種 類... 2 3.1.1. 等 号... 2 3.1.2. 不 等 号... 2 4. 具 体 的 な 使 い 方... 2 5. ネスト... 3 6. 複 数 の 条 件 を 記 述... 平 成 26 年 12 月 6 日 跡 見 学 園 女 子 大 学 公 開 講 座 パソコンセミナー Excel 入 門 第 1 回 応 用 編 文 学 部 現 代 文 化 表 現 学 科 准 教 授 伊 藤 穣 j-ito@atomi.ac.jp http://www2.mmc.atomi.ac.jp/~j-ito/ 目 次 1. 論 理 関 数 IF... 1 2. IF の 概 要... 1

More information

スライド 1

スライド 1 SPI Japan 2011 組 織 の 実 績 ベースライン および 改 善 活 動 の 効 果 の 検 定 手 順 の 確 立 2011 年 10 月 27 日 住 友 電 気 工 業 株 式 会 社 中 塚 康 介 中 村 伸 裕 情 報 システム 部 はじめに 高 成 熟 度 のプロセス 領 域 では 統 計 的 手 法 の 適 用 が 必 要 となる 組 織 のプロセス 実 績 の 分 布

More information

<95CA8E86315F8A6D92E8905C8D908F9182C98AD682B782E9837483408343838B8B4C985E8D8096DA2E786C7378>

<95CA8E86315F8A6D92E8905C8D908F9182C98AD682B782E9837483408343838B8B4C985E8D8096DA2E786C7378> 別 紙 1_ 確 定 申 告 書 に 関 するファイル 記 録 項 目 1 平 成 年 分 の 所 得 税 及 び 復 興 特 別 所 得 税 の 確 定 申 告 書 A( 第 一 表 第 二 表 ) 1 平 成 年 分 の 所 得 税 及 び 復 興 特 別 所 得 税 の 確 定 申 告 書 A( 第 一 表 第 二 表 ) 2 申 告 書 第 一 表 3 申 告 書 見 出 し 部 ( 第 一

More information

<4D6963726F736F667420506F776572506F696E74202D208E9197BF322D31208C9A90DD835283938354838B835E8393836782CC8A88977082C982C282A282C4>

<4D6963726F736F667420506F776572506F696E74202D208E9197BF322D31208C9A90DD835283938354838B835E8393836782CC8A88977082C982C282A282C4> 平 成 23 年 9 月 5 日 国 際 的 な 発 注 契 約 方 式 の 活 用 に 関 する 懇 談 会 資 料 2-1 2. 設 計 施 工 一 括 発 注 方 式 等 における 建 設 コンサルタント 活 用 に 関 する 運 用 ガイドライン( 案 )について Ministry of Land, Infrastructure, Transport and Tourism 0. 本 資 料

More information

CENTNET 導 入 の 手 引 き 変 更 履 歴 No. 変 更 日 変 更 番 号 変 更 枚 数 備 考 1 2011/07/19 2.0 版 発 行 - システムリプレースにより 全 面 刷 新 2 2011//07/19 2.01 版 発 行 3 誤 字 等 の 修 正 3 2014/

CENTNET 導 入 の 手 引 き 変 更 履 歴 No. 変 更 日 変 更 番 号 変 更 枚 数 備 考 1 2011/07/19 2.0 版 発 行 - システムリプレースにより 全 面 刷 新 2 2011//07/19 2.01 版 発 行 3 誤 字 等 の 修 正 3 2014/ CENTNET 導 入 の 手 引 き ( 一 般 購 読 者 用 ) 第 2.1 版 名 古 屋 証 券 取 引 所 CENTNET 導 入 の 手 引 き 変 更 履 歴 No. 変 更 日 変 更 番 号 変 更 枚 数 備 考 1 2011/07/19 2.0 版 発 行 - システムリプレースにより 全 面 刷 新 2 2011//07/19 2.01 版 発 行 3 誤 字 等 の 修

More information

目 次. WEB メールへのログイン.... メール 送 信 手 順.... メール 受 信 手 順... 6. アドレス 帳 の 操 作 手 順... 8 5. フォルダーの 操 作 手 順... 8 6. メール 発 信 者 登 録 署 名 登 録 手 順... 0 7. 基 本 的 な 設 定

目 次. WEB メールへのログイン.... メール 送 信 手 順.... メール 受 信 手 順... 6. アドレス 帳 の 操 作 手 順... 8 5. フォルダーの 操 作 手 順... 8 6. メール 発 信 者 登 録 署 名 登 録 手 順... 0 7. 基 本 的 な 設 定 Web メール 手 順 書 目 次. WEB メールへのログイン.... メール 送 信 手 順.... メール 受 信 手 順... 6. アドレス 帳 の 操 作 手 順... 8 5. フォルダーの 操 作 手 順... 8 6. メール 発 信 者 登 録 署 名 登 録 手 順... 0 7. 基 本 的 な 設 定... 8. 参 考 情 報... 9 . WEB メールへのログイン 概

More information

1.2. ご 利 用 環 境 1.2.1. 推 奨 ブラウザ Internet Explorer 10 11 Google Chrome(バージョン 32 時 点 で 動 作 確 認 済 み) Mozilla Firefox(バージョン 26 時 点 で 動 作 確 認 済 み) Safari 7

1.2. ご 利 用 環 境 1.2.1. 推 奨 ブラウザ Internet Explorer 10 11 Google Chrome(バージョン 32 時 点 で 動 作 確 認 済 み) Mozilla Firefox(バージョン 26 時 点 で 動 作 確 認 済 み) Safari 7 1. アーカイブデータベースを 検 索 / 閲 覧 する 1.1. データの 検 索 方 法 東 京 アーカイブ では 以 下 に 分 類 されるカテゴリの 画 像 データ 資 料 データを 閲 覧 できます 江 戸 城 浮 世 絵 双 六 和 漢 書 江 戸 東 京 の 災 害 記 録 絵 葉 書 写 真 帖 近 代 の 地 図 東 京 府 東 京 市 関 係 資 料 番 付 建 築 図 面 書

More information

本 校 の 沿 革 昭 和 21 年 昭 和 49 年 昭 和 54 年 昭 和 60 年 平 成 9 年 平 成 11 年 平 成 18 年 北 海 道 庁 立 農 業 講 習 所 として 発 足 北 海 道 立 農 業 大 学 校 に 改 組 修 業 年 限 を1 年 制 から2 年 制 に 改

本 校 の 沿 革 昭 和 21 年 昭 和 49 年 昭 和 54 年 昭 和 60 年 平 成 9 年 平 成 11 年 平 成 18 年 北 海 道 庁 立 農 業 講 習 所 として 発 足 北 海 道 立 農 業 大 学 校 に 改 組 修 業 年 限 を1 年 制 から2 年 制 に 改 平 成 2 9 年 度 ( 学 生 募 集 要 項 ) 畜 産 経 営 学 科 畑 作 園 芸 経 営 学 科 農 業 経 営 研 究 科 稲 作 経 営 専 攻 コ ー ス 本 校 の 沿 革 昭 和 21 年 昭 和 49 年 昭 和 54 年 昭 和 60 年 平 成 9 年 平 成 11 年 平 成 18 年 北 海 道 庁 立 農 業 講 習 所 として 発 足 北 海 道 立 農 業 大

More information

プログラミング基礎I(再)

プログラミング基礎I(再) 山 元 進 1 度 は 講 義 を 受 けたことを 念 頭 に 置 いて 講 義 遠 慮 なく 質 問 せよ 質 問 で 授 業 を 止 めたくないならば TA の 人 を 呼 んで 質 問 すると 良 い 前 期 講 義 の 欠 席 者 は 特 に 注 意 せよ 4 限 座 学 +5 限 演 習 出 席 をとる( 前 期 同 様 のシステム) 中 間 試 験 を 行 う 期 末 試 験 の 範 囲

More information

佐渡市都市計画区域の見直し

佐渡市都市計画区域の見直し 都 市 計 画 区 域 の 拡 大 について 佐 渡 市 建 設 課 都 市 計 画 とは 土 地 の 使 い 方 や 建 物 の 建 て 方 についての ルールをはじめ まちづくりに 必 要 なことがら について 総 合 的 一 体 的 に 定 め まちづく り 全 体 を 秩 序 だてて 進 めていくことを 目 的 と した 都 市 計 画 法 という 法 律 で 定 められた 計 画 です 住

More information

2016 年 度 情 報 リテラシー 変 更 された 状 態 同 様 に 価 格 のセルを 書 式 設 定 する 場 合 は 金 額 のセルをすべて 選 択 し [ 書 式 ]のプルダウンメニューか ら[ 会 計 ]を 選 択 する すると が 追 加 され 金 額 としての 書 式 が 設 定 さ

2016 年 度 情 報 リテラシー 変 更 された 状 態 同 様 に 価 格 のセルを 書 式 設 定 する 場 合 は 金 額 のセルをすべて 選 択 し [ 書 式 ]のプルダウンメニューか ら[ 会 計 ]を 選 択 する すると が 追 加 され 金 額 としての 書 式 が 設 定 さ ( 第 11 回 )2016/06/27 表 計 算 を 利 用 した 集 計 作 業 この 回 では Excel を 用 いた 集 計 作 業 を 行 う 集 計 は 企 業 などで 事 実 を 記 録 したデータを 目 的 に 従 って 整 理 する 作 業 である Excel では 集 計 に 特 化 した 機 能 として テーブル ピポットテーブル という 機 能 がある 1. 課 題 の

More information

COINS 5 2.1

COINS 5 2.1 COINS (0501699) 20 21 2 5 1 3 1.1....................................... 3 1.2..................................... 4 1.3....................................... 4 2 COINS 5 2.1 COINS..................................

More information

積 載 せず かつ 燃 料 冷 却 水 及 び 潤 滑 油 の 全 量 を 搭 載 し 自 動 車 製 作 者 が 定 める 工 具 及 び 付 属 品 (スペアタイヤを 含 む )を 全 て 装 備 した 状 態 をいう この 場 合 に おいて 燃 料 の 全 量 を 搭 載 するとは 燃 料

積 載 せず かつ 燃 料 冷 却 水 及 び 潤 滑 油 の 全 量 を 搭 載 し 自 動 車 製 作 者 が 定 める 工 具 及 び 付 属 品 (スペアタイヤを 含 む )を 全 て 装 備 した 状 態 をいう この 場 合 に おいて 燃 料 の 全 量 を 搭 載 するとは 燃 料 別 添 72 後 退 灯 の 技 術 基 準 1. 適 用 範 囲 等 この 技 術 基 準 は 自 動 車 に 備 える 後 退 灯 に 適 用 する( 保 安 基 準 第 40 条 関 係 ) ただし 法 第 75 条 の2 第 1 項 の 規 定 によりその 型 式 について 指 定 を 受 けた 白 色 の 前 部 霧 灯 が 後 退 灯 として 取 付 けられている 自 動 車 にあっては

More information

Microsoft Word - ML_ListManager_10j.doc

Microsoft Word - ML_ListManager_10j.doc メーリングリスト 利 用 の 手 引 き(リスト 管 理 者 編 ) for LyrisSynaptive ListManager 10j 第 5 版 目 次... 1 1. メーリングリストとは?... 2 2. メーリングリストの 開 設... 2 2-1 管 理 者 画 面 へのログイン... 2 2-2 リスト 管 理 者 自 身 のパスワードの 変 更... 4 3. メンバーの 登 録...

More information

<5461726F2D91E6825181408A4A94AD8D7388D782CC8B9689C22E6A7464>

<5461726F2D91E6825181408A4A94AD8D7388D782CC8B9689C22E6A7464> 第 2 開 発 行 為 の 許 可 第 1 節 許 可 を 要 する 開 発 行 為 1 面 積 要 件 ( 法 第 29 条 第 1 項 第 1 号 第 2 項 本 文 ) 下 表 のそれぞれの 区 域 ごとに 定 めた 規 模 以 上 の 開 発 行 為 ( 法 令 により 許 可 不 要 及 び 協 議 が 必 要 とされるものを 除 く )を 行 おうとする 者 は あらかじめ 知 事 の

More information