オートモーティブ機能安全マニュアル Cyclone V FPGAおよびCyclone V SoC用

Size: px
Start display at page:

Download "オートモーティブ機能安全マニュアル Cyclone V FPGAおよびCyclone V SoC用"

Transcription

1 オートモーティブ機能安全マニュアル Cyclone V FPGA および Cyclone V SoC 用 更新情報 MNL Innovation Drive San Jose, CA

2 目次 -2 オートモーティブ機能安全マニュアル Cyclone V FPGA および Cyclone V SoC 用 目次 オートモーティブの機能安全について システム障害の管理 アルテラの開発フロー ユーザー開発フロー FPGA 要件の仕様化 FPGA アーキテクチャの生成 ロジック モジュール デザインに向けた設計記述の作成 ロジック モジュール デザインに向けたテスト記述の作成 ロジック モジュール デザインのコーディング ロジック モジュール デザイン設計のテスト ロジック モジュール デザインへの障害の注入 FMEDA の実行 ロジック モジュール統合に向けた設計記述の作成 ロジック モジュール統合に向けたテスト記述の作成 ロジック モジュール統合のコーディング ロジック モジュール統合のテスト 合成の実行 配置配線の実行 スタティック タイミング解析の実行 ゲート レベル シミュレーションの実行 ビットストリームの生成 デザインの検証 オートモーティブの機能安全向けのアルテラ ツール アルテラ IP コア Nios II プロセッサ 偶発ハードウェア障害の管理に向けた Cyclone V アーキテクチャ Cyclone V の概要 Cyclone V がターゲットとするアプリケーション Cyclone V ハードウェアのアーキテクチャ Cyclone V の診断メカニズムおよび使用にあたっての前提条件 電源 クロック リセット 入力 / 出力

3 オートモーティブ機能安全マニュアル Cyclone V FPGA および Cyclone V SoC 用目次 -3 Cyclone V FPGA のコンフィギュレーション FPGA ユーザ メモリ 偶発ハードウェア障害の管理に向けた Cyclone V SoC アーキテクチャ Cyclone V の概要 Cyclone V SoC がターゲットとするアプリケーション Cyclone V SoC ハードウェアのアーキテクチャ Cyclone V SoC の診断メカニズムおよび使用にあたっての前提条件 電源 クロック リセット 入力 / 出力 Cyclone V SoC における FPGA のコンフィギュレーション FPGA ユーザ メモリ HPS インタコネクト HPS-FPGA 間のインタコネクト HPS Cortex-A9 MPU のサブシステム HPS のデバッグとトレース HPS SDRAM コントローラ HPS オンチップ RAM HPS オンチップ ブート ROM HPS NAND フラッシュ コントローラ HPS SD/MMC コントローラ HPS Quad SPI フラッシュ コントローラ HPS FPGA マネージャ HPS システム マネージャ HPS スキャン マネージャ HPS DMAC HPS イーサネット メディア アクセス コントローラ HPS USB 2.0 OTG コントローラ HPS SPI コントローラ HPS I2C コントローラ UART コントローラ HPS タイマ HPS ウォッチドッグ タイマ HPS CAN コントローラ ISO26262 に特化した FPGA デザイン用のテクニックと方法 デザイン入力 Structured Description( 構造化記述 ) Design description in HDL(HDL によるデザインの記述 ) 回路図入力

4 目次 -4 オートモーティブ機能安全マニュアル Cyclone V FPGA および Cyclone V SoC 用 ブール式を使用したデザインの記述 モジュール化 Proven in Use( 使用実績のある ) デザイン環境の適用 HDL シミュレーション モジュール レベルでの機能テスト トップレベル モジュールでの機能テスト Restricted use of asynchronous constructs( 非同期構文の使用制限 ) プライマリ入力の同期およびメタスタビリティの管理 機能的および構造的カバレッジ ドリブン検証 コーディング ガイドラインの順守 コード チェッカの適用 検査コードまたはウォークスルー 検証済みのソフト コアの適用 ソフト IP コアの検証 シミュレーション結果のドキュメンテーション 合成 内部整合性のチェック ゲート ネットリスト シミュレーション 伝播遅延のスタティック タイミング解析 (STA) シミュレーションによるリファレンス モデルに対するゲート ネットリストの検証 リファレンス モデルとゲート ネットリストの比較 ( フォーマル等価性検証 )5-16 IC ベンダの要件と制約の確認 合成の制約 結果 ツールのドキュメンテーション Proven in Use( 使用実績のある ) 合成の適用 Proven in Use( 使用実績のある ) ライブラリ /CPLD テクノロジの適用 スクリプト ベースの手順 適切なタイミング マージン テストの挿入とテスト パターンの生成 テスト容易化設計 (DFT) 配置 配線 レイアウトの生成 使用実績のある適用されたハード コアの正当性 検証済みのハード コアの適用 レイアウト後のゲート ネットリスト シミュレーション パワー ネットワークの解析 リファレンス モデルとレイアウト後のゲート ネットリストの比較 デザイン ルール チェック Layout Versus Schematic(LVS) チェック チップ生産段階の安全性に関連する特殊な特性 Proven in Use( 使用実績のある ) プロセス テクノロジの適用 Proven in Use( 使用実績のある ) デバイス シリーズ 使用実績のある製造工程の適用 製造工程における品質管理

5 オートモーティブ機能安全マニュアル Cyclone V FPGA および Cyclone V SoC 用目次 -5 システム内の FPGA プロトタイプの最終的な確認および検証 最終的な検査と検証 アルテラ ツールおよびソフトウェアの既知の問題 Development Interface Agreement( 開発協働契約書 ) 安全管理者 安全性ライフサイクル アルテラが行う活動およびお客様の責任 アルテラが提供する情報 活動の責任当事者 目標値についての情報交換 サポートのプロセスとツール Nios II プロセッサを使用したソフトウェア開発 Qsys を使用した Nios II システムの作成 Nios II システム用のボート サポート パッケージの作成 アプリケーション フレームワークの作成 アプリケーション ソフトウェアの開発 ソフトウェアとハードウェアの統合 ISO26262 規格に含まれるツールとライブラリ ISO26262 規格に含まれないサードパーティー製ツールとライブラリ サポートされる (V)HDL のバージョン 改訂履歴

6 オートモーティブの機能安全について 1 MNL-1079 更新情報 本資料は 機能安全を重視するシステムの実装についての情報を提供し アイテム レベルでの ISO26262: コンプライアンスを満たすことを可能とします TÜV Rheinland 社は前世代の Altera FPGA とツールが SIL3 レベルまで IEC61508:2010 規格を満たすこと承認しています この高い技術と作業は 継続して ISO26262: 規格も満たしています アルテラは ISO26262 USTAG の積極的なメンバーであり 半導体メーカーに関する標準の明確化を目的とする ISO26262 半導体メーカーのサブグループに参画しています 注意 : アルテラのコンポーネント ソフトウェア およびツールのユーザーはすべての規制および安全性の要件を満たしている必要があります この資料に記載されているすべての情報は参照を目的としており 安全機能を重視するシステムにおいてアルテラ コンポーネントの使用に起因するいかなる損害 クレーム スイートまたは費用に対し アルテラは一切責任を負いかねます Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 登録済 Innovation Drive, San Jose, CA 95134

7 システム障害の管理 2 MNL-1079 更新情報 アイテムあるいはエレメント内での障害のリスクを最小限に抑えるには 系統的な故障の可能性を低減させます 堅牢な開発フローを使用することで この目標が達成可能となります 2-1 ページのアルテラの開発フローこのフローは TÜV Rheinland 社により 2010 年から最新の 2015 年 (No.: 968/EL /12) の認定に至るまで SIL3 までの IEC61508:2010 規格への順守に必要なアプリケーションでの使用に適していることが承認されています 2-3 ページのユーザー開発フロー TÜV Rheinland 社は モデル フローが IEC61508:2010 規格を満たすことを承認しています また TÜV Rheinland 社はこのフローが機能安全を重視するシステムのデザインに適していると判断しています アルテラは ISO26262: 規格を満たすようこのフローを修正しています アルテラの開発フロー アルテラは開発フローで使用するツール デバイス IP コアを提供しています このフローは TÜV Rheinland 社により 2010 年から最新の 2015 年 (No.: 968/EL /12) の認定に至るまで SIL3 までの IEC61508:2010 規格への順守に必要なアプリケーションでの使用に適していることが承認されています アルテラは EN ISO9001:2008(certificate: NAIS ) の認証を取得しています Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 登録済 Innovation Drive, San Jose, CA 95134

8 2-2 アルテラの開発フロー 図 2-1: アルテラの開発フロー 次の図はいくつかの段階を経るアルテラの開発フローを表しています MNL-1079 Discovery Review? no yes Concept Rollout Review? no Review? no yes yes Plan Production Review? no Review? no yes yes Design End of Life Review? no Review? yes no アルテラは 各段階の終了時に全段階を再度評価し 次の段階に進むかどうかを判断します Discovery 段階 Discovery 段階では アルテラは市場機会とアルテラ デバイスの潜在的な適合性を評価します Concept 段階 Concept 段階では アルテラは特定の市場に対処するためのソリューションを定義し 次の段階に向けたプランを作成します システム障害の管理

9 MNL-1079 ユーザー開発フロー 2-3 Plan 段階 Plan 段階ではアルテラは 様々な機能的グループのインプットをもとにプロジェクト プランを開発します アルテラは 実行可能性の調査を行い 高水準の仕様を作成します Design 段階 Design 段階ではアルテラは 高水準の仕様をより詳細な仕様に改良します これは製品を実装するために使用されます アルテラはテスト プランを作成し デザインが詳細な仕様を満たすことを検証します Rollout 段階 Rollout 段階では アルテラはその製品がデバイスである場合 製品を特定し それを検証します アルテラは異常を把握し それらを記録し 潜在的にそのような問題点を修正します Production 段階 Production 段階では アルテラは製造準備が整ったデバイス ツール および IP コアを作成します お客様は 製造に向けてアルテラの成果物を使用することができます End-of-Life 段階 End-of-Life 段階では アルテラは製品寿命の終了時に 製品を市場から撤収させることをカスタマーに通知します お客様は 定義された期間中に新しいアルテラ製品に変更することができます ユーザー開発フロー アルテラ プロダクトは ハードウェアのプログラムが可能です ユーザーは独自の回路を設計し FPGA にプログラムすることが可能です これには 通常はシリコン プロバイダによって行われる多くの設計ステップをユーザーが実行しなければいけません IP コアおよび回路の作成には V モデル フローを使用することができます TÜV Rheinland 社は モデル フローが IEC61508:2010 規格を満たすことを承認しています また TÜV Rheinland 社はこのフローが機能安全を重視するシステムのデザインに適していると判断しています アルテラは ISO26262: 規格を満たすようこのフローを修正しています システム障害の管理

10 2-4 ユーザー開発フロー MNL-1079 図 2-2: ユーザーによる V モデル開発フロー Specify FPGA Requirements Generate FPGA Architecture Plan Tests Validate Design Create Design Description Logical Module Design Create Test Plan Code Test Perform FMEDA Inject Faults Perform Gate- Level Simulation Generate Bitstream Logical Module Integration Create Design Description Create Test Plan Test Perform Static Timing Analysis Code Perform Synthesis Perform Place and Route 各 V モデル ステップの記述には以下の情報が含まれます V モデル ステップの記述 入力 V モデル ステップへの入力のリスト これには プロジェクトのドキュメントやデザイン ファイルなどが含まれます 出力 V モデル ステップの出力のリスト 入力を処理する際の最終的な結果 これには 出力ネットリスト 検証パス 成功しなかったステータスなどが含まれます 検証 V モデル ステップが正しく行われていることを検証します アルテラでは例を提供していますが 独自の方法を用いることも可能です 検証または V モデルステップ中にツールを使用する場合は 検証を補助するためにツールの出力を評価しなければなりません ツールが生成したエラー 警告 およびレポート ファイルを評価する必要があります 推奨ツール 特定の V モデル ステップを実装するにあたって このソフトウェア ツールのリストを使用することができます 1 つのツールのみが利用可能なケースもありますが ほとんどのは場合多くのオプションが利用可能です 特定のテクニックと方法 このリストは 各ステップに適用される標準で明示的な参照を示しています 本資料中のトピックには これらのテクニックと方法を満たすことができる手法を解説するアルテラ固有の情報が含まれます システム障害の管理

11 MNL-1079 FPGA 要件の仕様化 2-5 注意 : FPGA 要件の仕様化 開発段階で要件のトレーサビリティに向けての何らかの手段を用いることを推奨しています アルテラはこの過程を全体の安全性要件の一部であると考えており 各 V モデル ステップはこれについて明示的に言及していません このステップでは FPGA サブシステムの全体的な機能性を明確に記述します この記述には高レベルの仕様項目とデバイス全体の機能性の詳細が含まれます 高レベルのシステム要件を分析し FPGA が実行する機能を引き出します FPGA 要件の仕様には以下が含まれます : 高レベルの機能要件 サブシステムの性能 必要な外部インタフェース この段階で仕様化が可能なアイテム : FPGA デバイス ファミリ パフォーマンス 例 : デバイスの動作クロックの周波数 パフォーマンスとシンセシスの設定 例 : フィジカル シンセシスの使用 IP コアの使用およびソフトウェアの仕様 デザイン言語とバージョン 外部 I/O の制約 ( 速度 電圧 分離 ) この V モデルのステップに適用できるアルテラのプロセスまたはツールはありません 入力 : アイテム要件の仕様 安全コンセプト 出力 : 詳細な FPGA 要件の仕様 検証報告書 検証 : 入力ドキュメントに対する詳細な FPGA 要件の仕様の手続き型クロスチェック 例 : 番号付きアイテムの使用 相互評価の詳細な FPGA 要件の仕様 推奨ツール : 要件管理ツール ( 例 :IBM DOORS または TechnoSolutions TopTeam など ) 特定のテクニックと方法 : なし システム障害の管理

12 2-6 FPGA アーキテクチャの生成 要件の仕様と管理に関するより具体的な情報については ISO :2011 clause 6 および ISO :2011 clause 6 を参照してください FPGA アーキテクチャの生成 1. 適切な FPGA アーキテクチャを生成します 2. 一般的に FPGA デザイン内の主要なブロックについて記述し その中でも主要なブロックとその他のブロック FPGA デザイン内および外部インタフェースとの相互接続と相互作用について記述します 3. 通常 主要なブロックおよびそれらの相互接続を示すブロック図を生成します 4. FPGA システムすべての要件を考慮し 必要な機能をサブモジュールへパーティション化します 5. このようなサブモジュールは別々に定義し境界を設定することで 個別に開発およびテストすることが可能となります 6. サードパーティ製の IP コアまたは標準インタフェースを指定することができます 7. 安全性を考慮した設計が正常に動作することを確認するために必要となるアーキテクチャの機能を指定する必要があります この段階では 以下の項目を指定することもできます : デザイン入力の方法 FPGA ファミリ内の特定のデバイス 完全なツールのリスト テキスト エディタ サポートされるサードパーティー製シミュレータ ツール 合成エンジン スクリプトを必要とするツールの部分の仕様 アーカイブされたファイルや結果の要件 Qsys IP コア 総合的な診断テクニック サブモジュール レベルでの診断テクニック Nios II エンベデッド ソフト プロセッサ 標準の内部インタフェース ( 例 :Avalon メモリ マップド (Avalon-MM) インタフェースまたは Avalon ストリーミング (Avalon-ST) インタフェース ) 入力 : アイテムの安全要件の仕様 (Item SRS) FPGA 要件の仕様 FPGA の安全要件の仕様 (FPGA SRS) エラッタと既知の問題 出力 : FPGA 機能アーキテクチャ図とその記述 FPGA 診断アーキテクチャの詳細 詳細なモジュール要件の仕様および診断あるいはストラテジーのコンセプト 検証報告書 MNL-1079 システム障害の管理

13 MNL-1079 ロジック モジュール デザインに向けた設計記述の作成 2-7 検証 : 入力ドキュメント アイテムに対する出力ドキュメント アイテムの手続き型クロスチェック 例 : 番号付きアイテムの使用 アーキテクチャのピアレビュー 推奨ツール : 標準的な作図パッケージ ( 例 :Microsoft Visio) 標準的な文書作成パッケージ ( 例 :Microsoft Word) 要件管理ツール ( 例 :IBM DOORS または TechnoSolutions TopTeam など ) 特定のテクニックと方法 ; なし ハードウェア アーキテクチャの設計に関する詳細な要件については ISO :2011 clause を参照してください 詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 1: 設計と合成 第 2 章 :Quartus II ソフトウェアによるデザイン プランニング ロジック モジュール デザインに向けた設計記述の作成 このステップでは FPGA アーキテクチャのステップが指定する各モジュールの設計段階の説明を記述します 記述には モジュール要件を達成する方法について記載します この文書は ステート マシンの機能 演算機能 詳細なモジュール I/O の定義を指定するレベルとすることができます FPGA アーキテクチャの検証および最終的なモジュール実装を確認する手法が実行可能となるよう モジュールのビヘイビアをモデル化することが望ましい場合もあります このモデルは SystemC や MathWorks 社の MATLAB M などの高レベルのモデリング言語で実装可能です ドキュメントには 優秀なエンジニアが診断を含む各モジュールを FPGA デバイス内に問題なく実装できるように 十分な詳細を含める必要があります 各モジュールに関連する機能 パフォーマンス 安全性を明確に定義します さらに インタコネクトとチップ全体におよぶリも明確に定義します 以下は このステップへ FPGA デザインに関連する具体的な考慮事項です RAM 使用量と構成 クロッキング リ (PLL は ルーティング ) と構成 モジュールの I/O 接続 バスの種類 この V モデルのステップに適用できるアルテラのプロセスまたはツールはありません 入力 FPGA アーキテクチャのドキュメント 詳細なモジュール要件の仕様 出力 システム障害の管理

14 2-8 ロジック モジュール デザインに向けたテスト記述の作成 詳細なデザインの設計記述 モジュール レベルのビヘイビア モデル 検証報告書 検証 出力デザイン ドキュメントを持つ入力仕様の手続き型クロスチェック 例 : 番号付きアイテムの使用 ドキュメントのピアレビュー 推奨ツール 標準的な文書作成パッケージ (Microsoft Word) 要件管理ツール ( 例 :IBM DOORS または TechnoSolutions TopTeam など ) ビヘイビアのモデル化用の System-C ビヘイビアのモデル化用の MathWorks 社の MATLAB 特定のテクニックと方法 なし ハードウェアの設計に関する詳細な要件については ISO :2011 clause を参照してください ロジック モジュール デザインに向けたテスト記述の作成 この V モデルのステップでは モジュール レベルの機能を説明し テスト仕様やテストの記述を生成します 実行する場合 デザインの要件を満たすにあたってテストの記述が十分なテスト カバレッジを提供する必要があります システム全体の安全要件とターゲットの ASIL が要件を導きます 各仕様のポイントまたは機能要件を分析します 正しい機能と起こり得る障害の両方の条件でテストするために特別なテストを記述します また モジュール内で診断機能の能力をチェックするテストも開発します この V モデルのステップに適用できるアルテラのプロセスまたはツールはありません 入力 アイテム要件の仕様 ( すべての安全要件向け ) FPGA 要件の仕様 (FPGA レベルの要件向け ) ロジック モジュール デザイン 機能の記述 出力 ロジック モジュール デザイン テストの記述 検証報告書 検証 テストの記述でデザイン ドキュメントから番号付きのテストまでのテスト可能なアイテムのクロスチェック テスト ストラテジーと範囲のピアレビュー 推奨ツール 標準的な文書作成パッケージ (Microsoft Word) 要件管理ツール ( 例 :IBM DOORS または TechnoSolutions TopTeam など ) MNL-1079 システム障害の管理

15 MNL-1079 ロジック モジュール デザインのコーディング 2-9 特定のテクニックと方法 なし ハードウェアの設計に関する詳細な要件については ISO :2011 clause を参照してください ロジック モジュール デザインのコーディング このステップでは 詳細なモジュールの機能の記述を合成可能なデザインの記述に変換します これは通常 回路機能の (V)HDL 記述の形式をとり デザイン入力に対しては標準的なテキスト エディタを使用します 注意 : この資料内の (V)HDL という用語は Verilog HDL または VHDL のいずれかを意味します デザイン入力には様々な方法を選択することができます デザインの実装に適した方法を決定するには 数多くある特定のテクニックと方法 (5-1 ページの ISO26262 に特化した FPGA デザイン用のテクニックと方法 ) の中から 各デザイン入力の方法の適正を評価します の ISO26262 には アルテラ ツールを使用したこのようなテクニックと方法の実装方法が詳細に記載されています この V モデル ステップは アルテラのツールやプロセスを必要としません ただし Quartus II ソフトウェアを使用しているのであれば 正しい言語構文および精緻化エラーをチェックするには 解析およびエラボレーション機能を使用することができます 解析およびエラボレーションは デザインに正しい コードの構文と接続が使用されているかどうかをチェックする Analysis and Synthesis プロセスの一部を構成します 入力 : ロジック モジュール デザイン 機能の記述 出力 : 合成可能なデザイン ファイル ( 通常は (V)HDL) 検証 lint ツールの使用 ( 可能な場合 ) 検査コードまたはウォークスルー シミュレーション 推奨ツール 標準的なテキスト エディタ Qartus II 開発ソフトウェアの Analysis and Elaboration 機能 特定のテクニックと方法 Structured Description( 構造化記述 ) を参照してください Design description in HDL(HDL によるデザインの記述 ) を参照してください Restricted use of asynchronous constructs( 非同期構文の使用制限 ) を参照してください 検査コードまたはウォークスルーを参照してください 詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 1: 設計と合成 第 1 章 :Quartus II プロジェクトの管理 システム障害の管理

16 2-10 ロジック モジュール デザイン設計のテスト 解析およびエラボレーションの詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 1: 設計と合成 第 16 章 :Quartus II ソフトウェアの統合合成 関連情報 5-1 ページの ISO26262 に特化した FPGA デザイン用のテクニックと方法 ロジック モジュール デザイン設計のテスト このステップでは デザインを生成し テスト コードあるいはテストベンチを実行します 以前に生成されたテストの記述から個々のアイテムを実行可能なテストへと変換します このステップで開発するテストはそれぞれ テスト記述アイテムに直接リファレンスされます テストのパス / フェイル ステータスは 開発者およびプロジェクト マネージャーが簡単にアクセスできるようにします このステップには多くのテクニックが使用できますが 開発中の安全性に関するデザインに基も適したテクニックを選択します このステップには 以下のような方法が用いられることが一般的です 標準的なテキスト エディタを使用して (V)HDL テストベンチをコーディングします 適切なロジック シミュレータ内でこのテストベンチを実行します テストの成功 / 不成功をキャプチャします 不成功だった内容を分析し デザインの コードを修正します このステップでテストを実行するには スクリプトを使用します 高い信頼性と再現性を持つテストを実行する目的で アルテラは EDA コミュニティによって広くサポートされ 使用されている Tcl スクリプト言語をサポートしています サードパーティのシミュレータを慎重に選択します ISO26262: では ツールの信頼性レベル (ISO :2011 clause 11) の確立についての要件が定義されています このステップでは通常 標準的な (V)HDL によってデザインが記述されるため 選択した言語をサポートするサードパーティーのシミュレータだけが必要となります デザインに Altera IP コアのインスタンスが含まれる場合 適切な Altera のシミュレーション ライブラリを使用するようにします このようなライブラリは Quartus II ソフトウェアで提供されています シミュレーションでのコンフィギュレーションが ( この資料で指定する特定の Quartus II ソフトウェアのバージョンから ) 正しいアルテラ ライブラリをターゲットとしていることを確認する必要があります 検証には System Verilog HDL 言語を使用する方法を実装に選択することができます 選択するツールと方法が 安全性に関するデザインと検証に対して適切であることを確認する必要があります このステップでは デザインを合成し 同じシミュレーション テストベンチを使用してげーどレベルのコードを実行することが可能です 生成したコードがターゲット デバイスに合成されたかを早い段階で知ることができるため アルテラではこのステップを推奨しています 入力 デザイン ファイル Logical Module Design Test Description ドキュメント 出力 MNL-1079 システム障害の管理

17 MNL-1079 ロジック モジュール デザインへの障害の注入 2-11 テストのパス / フェイル ステータス ( デバッグで使用する ) テストのパス / フェイル診断 検証 ツールの使用 テスト結果のピアレビュー 有効なシミュレータ出力を手動でチェックします レポート ファイルの存在 およびタイム スタンプあるいはデート スタンプのチェック シミュレーション ライブラリ ファイルのタイム スタンプあるいはデート スタンプのチェック 推奨ツール サードパーティー製シミュレーション ツール これらの解説は本資料には含まれません Mentor ModelSim simulator Cadence NCSIM Synopsys VCS アルテラのシミュレーション ライブラリ ( オプション ) 特定のテクニックと方法 HDL シミュレーションを参照してください モジュール レベルでの機能テストを参照してください 機能的および構造的カバレッジ ドリブン検証を参照してください シミュレーション結果のドキュメンテーションを参照してください Proven in Use( 使用実績のある ) ライブラリ /CPLD テクノロジの適用を参照してください 詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 3: 検証 第 1 章 : アルテラ デザインのシミュレーション Tcl スクリプトの詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 2: デザインの実装と最適化 第 3 章 :Tcl スクリプト ロジック モジュール デザインへの障害の注入 このステップはオプションであり モジュール デザインに障害検出機能が内蔵されている場合のみ適用されます このステップでは 検出された障害の数を決定するために デザインのネットリストに障害を注入して実装された手法が診断する範囲を分析します モジュール デザインよりも高いレベルで診断測定を実施することができます モジュール デザインの統合において障害注入テストを実行して より高いレベルの測定で診断する範囲を決定し モジュール間の依存関係を分析する必要があります 入力 デザインのネットリスト 出力 テスト診断カバレッジ システム障害の管理

18 2-12 FMEDA の実行 検証 ツールの使用 テスト結果のピアレビュー 推奨ツール サードパーティ製の故障注入ツール サードパーティ製のシュミレーション ツール アルテラのシミュレーション ライブラリ ( オプション ) 特定のテクニックと方法 HDL シミュレーションを参照してください シミュレーション結果のドキュメンテーションを参照してください Proven in Use( 使用実績のある ) ライブラリ /CPLD テクノロジの適用を参照してください ロジック モジュール統合のテストを参照してください 詳細は以下を参照してください ISO :2011, Section ISO :2011, Section FMEDA の実行 このステップでは 診断能力を決定し デザインで達成されたメトリクスを評価します 実装された診断手法の Failure モード Failure モードの分布 Failure 率 および診断の範囲についての情報を Failure モード 効果 診断解析 (FMEDA) への入力として考慮する必要があります 最も正確な情報で製品開発サイクル間に FMEDA を微調整します 入力 Failure モード Failure モードの分布 回路の故障率 診断手法の診断カバレッジ 出力 FMEDA 検証 結果のピアレビュー 推奨ツール アルテラ FMEDA スプレッドシート 特定のテクニックと方法 MNL-1079 システム障害の管理

19 MNL-1079 ロジック モジュール統合に向けた設計記述の作成 2-13 適用されません ロジック モジュール統合に向けた設計記述の作成 このステップでは 抽象化レベルがモジュール統合レベルである点を除き ロジック モジュール デザインに向けた設計記述の作成と同じテクニックを使用します 各モジュール間の統合を記述するための基礎として FPGA アーキテクチャのドキュメントを使用することができます 関連情報 2-7 ページのロジック モジュール デザインに向けた設計記述の作成 ロジック モジュール統合に向けたテスト記述の作成 このステップでは テストがより高いレベルのブロックおよびサブシステムに特化されているという点を除き ロジック モジュール デザインに向けたテスト記述の作成と同じテクニックを使用します このテスト記述はフルチップのテスト時にターゲットとすることができます 関連情報 2-7 ページのロジック モジュール デザインに向けた設計記述の作成 ロジック モジュール統合のコーディング このステップでは 前の段階で開発した個々のモジュールを統合します この時点で これらのモジュールを組み合わせることで より高いレベルの機能と最終的なトップレベルの FPGA の設計を作成します Quartus II ソフトウェアはモジュールの統合を簡易化するコード生成ツール (Qsys) を搭載しており 特にアルテラ IP コアと Nios II プロセッサを使用する場合はモジュール統合を非常に簡単に実行することができます 入力 モジュール デザイン ファイル ロジック モジュール デザイン 機能の記述 FPGA アーキテクチャ 出力 チップ レベルまたはサブシステム レベルのデザイン ファイル 検証 自動化されたステップのレポート ファイルの出力を分析する ( これは Nios II ソフトウェア ビルド ツールにも適用されます ) VHDL ファイルのタイム スタンプとデート スタンプを確認する ( これは Nios II ソフトウェア ビルド ツールにも適用されます ) Qsys が生成した階層を検査する (Qsy s を使用した場合 ) 推奨ツール 標準的なテキスト エディタ Quartus II Qsys 特定のテクニックと方法 システム障害の管理

20 2-14 ロジック モジュール統合のテスト モジュール化を参照してください 検証済みのソフト コアの適用を参照してください ソフト IP コアの検証を参照してください MNL-1079 ロジック モジュール統合のテスト このステップでは モジュール レベルの V モデルのステップと同じテクニックを使用しています ただし 検証の焦点は より高いレベルのブロックおよびフルチップのテストにあります 入力 : デザイン ファイル Logical Module Design Test Description ドキュメント 出力 : テストのパス / フェイル ステータス ( デバッグで使用する ) テストのパス / フェイル診断 検証 : ツールの使用 テスト結果のピアレビュー 有効なシミュレータ出力を手動でチェックします レポート ファイルの存在 およびタイム スタンプあるいはデート スタンプのチェック シミュレーション ライブラリ ファイルのタイム スタンプあるいはデート スタンプのチェック 推奨ツール : サードパーティー製シミュレーション ツール これらの解説は本資料には含まれません Mentor: ModelSim Cadence: NCSIM Synopsys: VCS アルテラのシミュレーション ライブラリ ( オプション ) 特定のテクニックと方法 : HDL シミュレーションを参照してください モジュール レベルでの機能テストを参照してください 機能的および構造的カバレッジ ドリブン検証を参照してください シミュレーション結果のドキュメンテーションを参照してください Proven in Use( 使用実績のある ) ライブラリ /CPLD テクノロジの適用を参照してください 詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 3: 検証 関連情報 2-10 ページのロジック モジュール デザイン設計のテスト システム障害の管理

21 MNL-1079 合成の実行 2-15 合成の実行 このステップでは FPGA 合成ツールを使用します 合成ツールは指定した入力デザイン ファイルを受け取り ロジック ファンクションを Quartus II ソフトウェアがターゲットとするアルテラ FPGA のロジック セルのストラクチャ内に実装できるフォーマットに変換します Quartus II ソフトウェアには Quartus II 統合合成機能ツールが含まれています これは他の開発フローの部分と統合する高性能な合成ツールです 安全性に関連するフローには他の合成ツールを使用することも可能です Quartus II ソフトウェアは VHDL および Verilog HDL 言語の特定のバージョンをサポートしています 使用するデザイン が これらの規格に適合していることを確認する必要があります 使用する言語のバージョンは FPGA 要件の仕様書あるいはコーディング ガイドラインのドキュメント内で指定しておくことが理想的です Quartus II ソフトウェアは構文の正確性という点からデザイン を確認した後 そしてデザイン階層を詳細に調査した後で FPGA コンパイル フローのロジック合成を実行します 合成エンジンの動作に関しては多くのオプションが存在します Quartus II 合成エンジンは合成の制約によって制御されます 入力 デザイン ファイル 例 :(V)HDL モジュールおよび統合ファイル プロジェクトの制約 例 : ターゲットとするファミリあるいはデバイス タイミング制約 ( 推奨 タイミング ドリブンの最適化が可能となります ) 出力 合成後のデータベース ( 内部ツール ファイル ) 検証 生成されたレポート ファイルを見直す ( 例 : 警告や重度の警告 ) 内部プロジェクト データベース タイムとデート スタンプを確認する 入力ファイル リストを確認する 推奨ツール Quartus II 統合合成ツール サードパーティー製合成ツール これらの解説は本資料には含まれません Synopsys Synplify Mentor Graphics Precision Synthesis Mentor Graphics LeonardoSpectrum 特定のテクニックと方法 : 内部整合性のチェックを参照してください 合成の制約 結果 ツールのドキュメンテーションを参照してください Proven in Use( 使用実績のある ) 合成の適用を参照してください Proven in Use( 使用実績のある ) ライブラリ /CPLD テクノロジの適用を参照してください スクリプト ベースの手順を参照してください Quartus II ソフトウェアが統合した合成を呼び出す方法およびそれらが持つ制約と効果については Quartus II Software Handbook v14.1 の以下のトピックを参照してください システム障害の管理

22 2-16 配置配線の実行 Volume 1: 設計と合成 MNL-1079 関連情報 9-1 ページのサポートされる (V)HDL のバージョン 配置配線の実行 このステップでは 論理合成の結果から各ロジック セルの特定の配置が含まれるネットリストを作成します さらに ロジック セルとその他のデバイス リとの間の正確な配線を導き出します 配置配線プロセスを実行するために システムのタイミング制約を使用するよう配置配線ツールを設定することが可能です アルテラは Quartus II ソフトウェアの多くのバージョンを通じて 複雑な内部アルゴリズムを開発してきました 技術の詳細については 本資料には含まれません 配置配線プロセスでは 合成ネットリスト アイテムを配置配線するだけではなく 合成データベースを大幅に変更することが可能です プロジェクト全体のレベルといった設計サイクルの初期段階で Quaruts II Fitter の設定と制約を決定します 入力 : 合成後のデータベース プロジェクトの制約 例 : ターゲットとするファミリあるいはデバイス タイミング制約 ( オプション タイミング ドリブンの配置配線用 ) 出力 : 配置配線後のネットリスト ( 内部ツール ファイル ) 検証 : ツールが生成したレポート ファイルの解析 ( 警告 重大な警告などをチェック ) 内部プロジェクト データベース タイムとデート スタンプを確認する 有効なゲート レベルでのシミュレーション結果を確認する 推奨ツール : Quartus II Fitter 特定のテクニックと方法 : 使用実績のある適用されたハード コアの正当性を参照してください 検証済みのハード コアの適用を参照してください 詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 2: デザインの実装と最適化 第 12 章 : タイミング クロージャと最適化 第 14 章 : エリアの最適化 スタティック タイミング解析の実行 このステップでは タイミング解析を実行します タイミング解析を実行することで デザインのタイミングに関するパフォーマンスの正確な情報が得られ 回路が問題なく動作するかどうかを把握することができます システム障害の管理

23 MNL-1079 ゲート レベル シミュレーションの実行 2-17 FPGA の全体的なシステム パフォーマンスを FPGA 要件のドキュメントで指定することができます FPGA アーキテクチャのドキュメントでは デザイン内のサブシステムのタイミングを指定することができます アルテラは Quartus II ソフトウェアに TimeQuest タイミング解析ツールを搭載しています ユーザー提供のタイミング制約のセットに対してタイミング パフォーマンスを検証するには この包括的なツールを使用してください タイミング制約は 全体的な FPGA デザインの重要な部分であるため 慎重に設計し 管理する必要があります FPGA デザイン サイクルの初期段階でタイミング制約を開発します Quartus II ソフトウェアは合成とフィッティングの際にタイミング制約を使用します 例えば 合成と配置配線のステップでタイミング制約を使用すれば 速度や面積の最適化でより良い結果が得られます 入力 : タイミング制約 FPGA アーキテクチャ FPGA 要件の仕様 デバイスのタイミング モデル 配置配線後のネットリスト 出力 : タイミング レポート ファイル 検証 : タイミング障害のツール出力ファイルを見直す ツールからの有効な結果を確認する ツールが正しい制約 (.sdc) ファイルを読み出していることを確認する クロック サマリ レポートを確認する マクロが生成するすべてのサマリのレポートを確認する レポート ファイルの存在とタイム スタンプおよびデート スタンプを確認する レポート ファイル内で制約されていないパスを確認する 推奨ツール : Quartus II TimeQuest Timing Analyzer 特定のテクニックと方法 : 合成の制約 結果 ツールのドキュメンテーションを参照してください 伝播遅延のスタティック タイミング解析 (STA) を参照してください 適切なタイミング マージンを参照してください 使用期間が 3 年以下のプロセス テクノロジのデバイス ファミリを使用する場合のタイミング制約を変更するにあたっての特定の要件についての詳細な情報は 適切なタイミング マージンを参照してください ゲート レベル シミュレーションの実行 このステップでは 前段階のプロセスを検証します 配置配線ステップの出力であるネットリストでデザインをシミュレーションします ツールは論理合成を実行することでこのネットリストを生成するだけなので 合成ツールの動作も検証します システム障害の管理

24 2-18 ゲート レベル シミュレーションの実行 ロジック モジュール デザイン設計のテストとロジック モジュール統合のテストで生成するシミュレーション テストベンチを再利用することが一般的ですが この段階で開発に追加のテストを提供することを決定することができます この要件は FPGA 要件の仕様または FPGA アーキテクチャのドキュメント内で記述します ロジック シミュレータに関連するすべてのタイミング情報を提供するという点を除いては タイミングが正確なゲート レベルのシミュレーションは 通常のゲート レベルのシミュレーションと同じです このプロセスでは デザインないのタイミング違反が表示されることがあります 機能ゲート レベル シミュレーションに加えて このステップを実行することができます または 機能ゲート レベル シミュレーションはこのステップを置き換えることができます 使用期間が 3 年以下のプロセス テクノロジのデバイス ファミリを使用する場合のタイミング制約を変更するにあたっての特定の要件についての詳細な情報は 適切なタイミング マージンを参照してください 入力 配置配線後のネットリスト ロジック モジュール テストの記述とテストベンチ ロジック モジュール統合テストの記述とテストベンチ 出力 テストのパス / フェイル ステータス ( デバッグで使用する ) テストのパス / フェイル診断 検証 テスト結果のピアレビュー 有効なシミュレータの出力を手動で確認する 手動で波形を確認する ポート ファイルのパス / フェイル ステータスを手動で確認する レポート ファイルの存在とタイム スタンプおよびデート スタンプを確認する 推奨ツール サードパーティー製シミュレーション ツール これらの解説は本資料には含まれません Mentor ModelSim Cadence NCSIM Synopsys VCS アルテラのシミュレーション ライブラリ 特定のテクニックと方法 Proven in Use( 使用実績のある ) ライブラリ /CPLD テクノロジの適用 シミュレーションによるリファレンス モデルに対するゲート ネットリストの検証 リファレンス モデルとゲート ネットリストの比較 ( フォーマル等価性検証 ) 関連情報 2-10 ページのロジック モジュール デザイン設計のテスト 2-14 ページのロジック モジュール統合のテスト MNL-1079 システム障害の管理

25 MNL-1079 ビットストリームの生成 2-19 ビットストリームの生成 このステップでは ( ビットストリーム生成としても知られている ) プログラミング ファイルを生成します この手順は コンパイル済みのデザインでデバイスをプログラミングする前に実行します Quartus II ソフトウェアのアセンブラは 最終的なネットリストを取り込み 目的の機能に対し FPGA ロジック セルを設定するプログラミング シーケンスを生成します 多くの場合 Quartus II ソフトウェアはこの手順を自動的に実行します ビットストリームとストレージの生成にアセンブラを使用するには いくつかのオプションがあります 入力 配置配線後のネットリスト FPGA 要件の仕様 ( ビットストリーム ストレージ アプローチを含みます ) 出力 デバイス プログラミング ファイル (.sof.pof.hex など ) 検証 ツールが生成したレポート ファイルを見直す ハードウェアのチェック プログラミング ファイルのタイム スタンプとデート スタンプを確認する 推奨ツール Quartus II アセンブラ 特定のテクニックと方法 なし アセンブラの詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 3: 検証 第 18 章 :Quartus II プログラマ デザインの検証 このステップでは ハードウェア内の最終的なデザインを検証します プログラミング ファイルの生成段階で生成されたビットストリームを取り込み このファイルをハードウェアのデバイスに適用するために適切な手法を使用します このステップの後 テスト記述のドキュメントが指定さする方法でデバイスの機能性を検証する必要があります この検証が成功しない場合には アルテラではデバッグに役立つ様々なイン システム デバッグ ツールを提供しています SignalTap II ロジック アナライザ Nios II Debugger Quartus II PowerPlay パワー アナライザ Quartus II In-System Memory Editor これらのテクニックとツールはデバッグにのみ使用し 最終的なシステムには適用しないでください 入力 システム障害の管理

26 2-20 オートモーティブの機能安全向けのアルテラ ツール デバイス プログラミング ファイル ( 例 :.sof.pof.hex) MNL-1079 出力 ハードウェア テストの結果 ( ドキュメント ) 検証 SignalTap II ロジック アナライザ : デバッグ IP コアがフィッタ レポート ファイルに含まれているかを確認する 有効な結果を SignalTap II ロジック アナライザで確認する Nios II デバッガ : 有効な結果をデバッグ ツールで確認する SignalTap II ロジック アナライザを使用してデバッガあるいはメモリ エディタの一貫性を確認する ハードウェアの検証を使用してデバッグ ツールが正しい出力を生成していることを確認する Quartus II PowerPlay アナライザ : 有効な結果を手動で確認する データベースの一貫性を確認する ( タイム スタンプとデート スタンプ ) レポート ファイル タイム デート スタンプ およびモジュールが含まれていることを確認する ハードウェアの消費電力をモニタする テスト結果のピアレビュー デバッグ IP コアがフィッタ レポート ファイルに含まれているかを確認する 有効な結果をデバッグ ツールで確認する SignalTap II ロジック アナライザを使用してデバッガあるいはメモリ エディタの一貫性を確認する ハードウェアの検証を使用してデバッグ ツールが正しい出力を生成していることを確認する 推奨ツール SignalTap II ロジック アナライザ Nios II Debugger Quartus II PowerPlay パワー アナライザ Quartus II In-System Memory Editor 特定のテクニックと方法 最終的な検査と検証 オートモーティブの機能安全向けのアルテラ ツール Qsys アルテラでは V モデル ステップで使用可能なさまざまなツールを提供しています Qsys コード生成ツールには ISO26262: に固有の要件があります 詳細については ISO :2011 の項 10 を参照してください システム障害の管理

27 MNL-1079 オートモーティブの機能安全向けのアルテラ ツール 2-21 Qsys は 生成されたモジュールとアルテラの標準 IP コア間における接続をグラフィカルに表示します これらのブロック間の接続には 以下のアルテラ バス プロトコルが使用されます Avalon-MM インタフェース Avalon-ST インタフェース サブモジュールと IP コアの間で接続が特定されると コード生成段階が実行されます この段階では 接続のグラフィカルな表現を取り入れ モジュール インスタンスおよびアービトレーション ロジックやブリッジなどを含む接続の (V)HDL 記述ファイルが生成されます この (V)HDL ファイルは手動でコード化した (V)HDL ファイルと同じ方法でデザイン内に含めることができます Qsys の詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 1: 設計と合成 SignalTap II ロジック アナライザ Altera SignalTap II ロジック アナライザは FPGA 内のリアルタイム信号の遷移をキャプチャし 表示します つまり デバイス内で関心のあるノードを指定すると Quartus II コンパイラがそのノードを SignalTap II ブロックに接続し デバイス内でインスタンス化します 動作中は SignalTap ブロックが一定のトリガ条件をもとにオンチップ メモリへの信号の遷移をキャプチャします SignalTap II ロジック アナライザはその後 JTAG 経由でメモリの内容をホスト コンピュータへ転送し グラフィカルな形式でそれらを表示します 安全アプリケーションが online つまりデザインが機能安全に関与している場合は SignalTap II ロジック アナライザは使用しないでください SignalTap II ロジック アナライザの詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 3: 検証 第 13 章 :SignalTap II ロジック アナライザを使用したデザインのデバッグ Nios II デバッガ Nios II ソフトウェア デバッガは ホストコンピュータが JTAG インタフェースを使用して FPGA 内の Nios II プロセッサに接続することを可能とします ブレーク ポインティング STD アウト レポートなどの標準的なソフトウェアのデバッグ方法には Nios II ソフトウェア デバッガを使用してください 安全関連アプリケーションが online つまりデザインが機能安全に関与している場合は Nios II デバッガは使用しないでください Nios II デバッガの詳細については Nios II Classic Software Developer's Handbook を参照してください Quartus II In-System Memory Editor Quartus II In-System Memory Editor は ホスト コンピュータからのオンチップ メモリの内容の変更とリードバックを可能とします このホスト コンピュータは JTAG 接続を使用してデバイスに接続します このツールは デザインの実行動作中にメモリの内容を分析する場合に役立 システム障害の管理

28 2-22 アルテラ IP コア ちます このインシステム機能を有効にするよう設計時に設定した場合にのみ オンチップ メモリにアクセスすることができます 安全関連アプリケーションが online つまりデザインが機能安全に関与している場合は In- System Memory Editor は使用しないでください In-System Memory Editor の詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 3: 検証 第 16 章 : メモリおよび定数のインシステム修正 Quartus II PowerPlay パワー アナライザ Quartus II の PowerPlay パワー アナライザは デザイン コンセプトの初期からデザインの実装段階までの消費電力の見積もりを可能とします 見積もり結果を得るには 環境条件とデザインで使用する予定のデバイス リ数 ( クロック DSP ブロックなど ) についての情報を入力します デザインが部分的に完了している場合は Quartus II ソフトウェアは デバイスの電力消費量のより正確な見積もりを提供する PowerPlay early power estimator( 初期消費電力量の見積もり ) ファイルを生成することができます PowerPlay パワー アナライザの詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 3: 検証 第 8 章 :PowerPlay 電力解析 アルテラ IP コア デザインに様々な機能を実装するには アルテラ IP コアの使用が適切である場合があります アルテラは以下の 2 種類の IP コアを提供しています メガファンクション MegaCore ファンクション アルテラ メガファンクションは 通常は PLL といった下位レベルのハード IP 機能です このような機能の多くは ユーザによる設定が可能です アルテラでは一般的にこのような IP コアの設定に GUI を提供しており これらはテキスト ベースのコンフィギュレーション ファイルを生成します アルテラ MegaCore ファンクションは 通常は FPGA の汎用リ内に実装する上位レベルの機能です これには DDR SDRAM コントローラや Ethernet MAC などが挙げられます IP コアの詳細については Quartus II Software Handbook v14.1 の以下のトピックを参照してください Volume 1: 設計と合成 第 2 章 :Quartus II ソフトウェアによるデザイン プランニング MNL-1079 システム障害の管理

29 MNL-1079 Nios II プロセッサ 2-23 Nios II プロセッサ アルテラは Quartus II 開発ソフトウェア以外にも Nios II ソフト プロセッサを提供しています Nios II プロセッサは 32 ビット RISC( 縮小命令セット コンピュータ ) プロセッサです Nios II プロセッサは 一般的な FPGA リで構成されており ユーザが選択できる多数のコンフィギュレーション オプションを備えています アルテラは Quartus II の各リリースで Nios II プロセッサのリグレッション テストを実行しています このテストデータ および多くの使用経験は 安全関連のデザインにおいて Nios II プロセッサ コアが適しているという証拠となります Nios II プロセッサを使用する場合 Nios II プロセッサ ベースのデザインに十分な診断カバレッジが提供されていることを確認します この診断カバレッジは通常 Nios II プロセッサで動作するソフトウェアに実装します プロセッサのファームウェアおよびハードウェアが正常に動作しているかを確認するには これらのルーチンを使用することができます このようなルーチンの一例としては 巡回冗長検査 (CRC) の計算または Nios II プログラム コードでの署名があります 適切なシステム アーキテクチャを使用しているのであれば Nios II プロセッサは独自のプログラム コードでこの操作を実行することができます これ以外の診断手法については ISO26262: を参照してください Nios II プロセッサは ユーザーが設計したソフトウェアを実行します 安全関連のソフトウェアを実行することは ISO :2011 も適用可能であることを意味しています 本資料には ハードウェアの組み込みと Nios II プロセッサの合成についてのガイドラインが含まれていますが 安全性に関連するソフトウェアの設計は ISO26262: の範囲内で実行するようにします 関連情報 2-6 ページの FPGA アーキテクチャの生成 8-1 ページの Nios II プロセッサを使用したソフトウェア開発 システム障害の管理

30 偶発ハードウェア障害の管理に向けた Cyclone V アーキテクチャ 3 MNL-1079 更新情報 Cyclone V の概要 Cyclone V は Cyclone プロダクトの第 5 世代であり 28nm LP プロセスで製造されています デバイス ファミリは主に FPGA ファブリック内で使用できるロジック エレメント (LE) の個数で区別されます Cyclone V がターゲットとするアプリケーション Altera Cyclone V デバイスは産業およびオートモーティブ分野において機能安全を重視するアプリケーションでの使用を含む多様なアプリケーション要件を満たします これには以下が含まれます 先進運転支援システム ハイブリッド車や電気自動車向けモータ制御および DC-DC コンバータ インフォテインメント システム アルテラは Cyclone V の開発にあたって SEooC(Safety Element out of Context) 方法論を採用しています FPGA は 標準的なコンポーネント ( 例 : マイクロ コントローラ ) の使用と比較してユーザに一定の責任をシフトするユーザ定義のロジック IP とアプリケーションの統合に高度な柔軟性を提供します コンポーネント レベルのみでは ISO26262: の機能安全の達成は不可能です むしろ アイテムの全体的な安全コンセプトとして機能します アルテラの Cyclone V 製品は アイテムに対しターゲットとする ASIL レベルの達成の簡易化を可能にします Cyclone V ハードウェアのアーキテクチャ Cyclone V デバイスのアーキテクチャは 必要に応じてアプリケーション実行中に使用可能なクロック 電源 メモリなどを継続して動作させる診断手法を含みます アプリケーションは アプリケーションを実行する安全性が重要な部分にこれらの機構を使用する前に 正しく動作することを確認しておく必要があります Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 登録済 Innovation Drive, San Jose, CA 95134

31 3-2 Cyclone V の診断メカニズムおよび使用にあたっての前提条件 MNL-1079 図 3-1: Cyclone V の上位レベルのブロック図 次の図は Cyclone V アーキテクチャの上位レベルのブロック図を示します Altera FPGA Device Control Block User I/O HSSI Transceivers FPGA Fabric (LUTs, RAMs, Multipliers & Routing) PLLs Hard PCIe Hard Memory Controllers FPGA は柔軟性に富むため 独自のハードウェア アクセラレータを実装できるオプションがあります また システムあるいはチップ内の障害を検出する目的で カスタムの診断機能を作成することも可能です Cyclone V デバイス上の一部のモジュールは あらかじめハードウェア診断が組み込まれていますが 他のモジュールは 偶発ハードウェア障害を検出するにあたって システム レベルの概念に依存しています Cyclone V の診断メカニズムおよび使用にあたっての前提条件 この項では 潜在的な障害を検出する目的でアプリケーション内の IP コアまたは機能に実装された診断メカニズムおよびアプリケーション内の IP コアまたは機能の使用にあたっての前提条件について説明します この項では 該当する場合は ISO26262: をはじめとするドキュメントを参照します 特定の IP コアまたは機能の前提条件の表は 以下の推奨レベルがあります M は必須を表します ++ は強く推奨を表します + は推奨を表します O はオプションを表します 各表には ISO26262: による潜在的な診断メカニズムを示しています 偶発ハードウェア障害の管理に向けた Cyclone V アーキテクチャ

32 MNL-1079 電源 3-3 電源 内部電圧モニタ Cyclone V デバイスは いくつかの電源レールに向けて内部電圧モニタを実装します 特定のレールの電圧が仕様以外であれば デバイスは内部リセットを発行します アルテラは モニタされていない電圧レールに向けて異なる電圧モニタがアイテムによって実装されていると想定しています Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 10 章 :Cyclone V デバイスの電源管理 電源レール Cyclone V FPGA はコア ロジックおよび I/O に対し FPGA ファブリックの電圧レールをサポートします 供給電圧の影響に関係する FPGA ファブリック内の一般的な障害を効率的に軽減することができます アルテラは アプリケーションが FPGA ファブリックに専用の電源を提供することを想定しています 詳細については Cyclone V Device Family Pin Connection Guidelines の資料番号 PCG を参照してください Cyclone V FPGA の電源の使用前提 表 3-1: 電源の使用前提 識別子安全または診断機能推奨度潜在的な診断メカニズム PWR1 内部電圧モニタ M 外部電圧モニタ PWR2 外部電圧スーパーバイザー ++ 内部電圧モニタ クロック クロック入力 Cyclone V FPGA は クロックに関連する一般的な障害を防ぐことができる複数のクロック入力をサポートしています 複数の入力クロックによって FPGA ファブリック内のクロックを駆動することが可能です また 複数の独立したクロック ネットワークによって FPGA ファブリック内のロジックを駆動することも可能です Cyclone V Device Handbook を参照してください 偶発ハードウェア障害の管理に向けた Cyclone V アーキテクチャ

33 3-4 FPGA のクロッキング MNL-1079 Volume 1: デバイスのインタフェースおよび統合 第 4 章 :Cyclone V デバイスのクロック ネットワークおよび PLL FPGA のクロッキング FPGA ファブリックは 異なる PLL を持つクロックを個別に生成可能な非常に柔軟なクロック ネットワーク トポロジを提供します 異なるクロック ネットワークによって駆動されるロジックを分離することが可能です 詳細は Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 4 章 :Cyclone V デバイスのクロック ネットワークおよび PLL PLL 数 PLL は デバイス クロックの理 外部システム クロックの管理 および高速 I/O インタフェースに向けて堅牢なクロック管理および合成機能を提供します Cyclone V デバイス ファミリは フラクショナル PLL あるいは整数 PLL としても機能できるフラクショナル PLL が含まれています Cyclone V デバイスの出力カウンタは 整数またはフラクショナル周波数合成をサポートする各フラクショナル PLL に使用されます クロック スイッチオーバー機能 Cyclone V のクロック スイッチオーバー機能により PLL は 2 つの基準入力クロックを切り換えることができます この機能はクロック冗長性のために あるいは前のクロックが停止した場合に冗長クロックがオンになるシステムであるデュアル クロック ドメイン アプリケーションに使用します クロックがそれ以上トグルしないとき またはユーザーのコントロール信号に応じて デザインは自動的にクロック スイッチオーバーを実行することができます PLL クロックの出力 Cyclone V のほとんどの PLL は PLL ごとに専用クロック出力もドライブすることができます 外部チェック ロジックはこれを使用して PLL に関連するクロックの問題を検知することが可能です FPGA PLL ロック信号 Cyclone V FPGA ファブリック内の PLL は PLL が入力クロックにロックされていることを示すロック信号も提供します 入力クロックに対して正しい周波数で PLL が実行されていることを確認するには この信号を使用します 詳細は Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 4 章 :Cyclone V デバイスのクロック ネットワークおよび PLL コンフィギュレーション レジスタの定期的なリード バック コンフィギュレーション レジスタが正確であることを確認するには レジスタの内容をリード バックし その内容と意図した値と比較します その値をレジスタに書き込み このリード バックを定期的に繰り返し実行します 偶発ハードウェア障害の管理に向けた Cyclone V アーキテクチャ

34 MNL-1079 Clock Checker Diagnostic IP コア 3-5 注意 : 一部のレジスタは レジスタをリードする際に自動でクリアするビットを実装することができます アプリケーションのビヘイビアが変更されることがあるので リードが一部のビットのステータスに影響を与えることがないようにします 表 3-2: ISO26262 リファレンス :Configuration Register Test Table D.4 Configuration Register Test Section D Clock Checker Diagnostic IP コア この IP コアを使用すると 安定したリファレンス クロックに対し入力クロックの周波数と存在のオンライン チェッキングを提供することで デザインの診断カバレッジを拡大することができます また FPGA デバイス内の PLL の正しい機能を確認したり 安全性に関連するデザイン内の他のシステム クロックを確認するには この IP コアを使用することができます 高周波数と低周波のしきい値は指定可能です テストするクロックが指定したしきい値を超える場合は IP コアはシステムにエラー信号を生成し 警告します 表 3-3: ISO26262 リファレンス :Comparator Table D.2 Comparator Section D Cyclone V FPGA のクロックの使用前提 表 3-4: Cyclone V FPGA のクロックの使用前提 識別子安全または診断機能推奨度潜在的な診断メカニズム CLK1 FPGA ファブリックに向けた個別の外部クロック入力の使用 + 1. クロック グループを FPGA ファブリックへ配線する Clock Checker Diagnostic IP コア 2. ウォッチドッグ 3. 外部ピンのクロック ドメイン出力 偶発ハードウェア障害の管理に向けた Cyclone V アーキテクチャ

35 3-6 リセット MNL-1079 識別子安全または診断機能推奨度潜在的な診断メカニズム CLK2 CLK5 FPGA ファブリック内でインスタンス化される Clock Checker Diagnostic IP コアを使用したクロック領域の管理 FPGA ファブリック内のウォッチドッグ ウォッチドッグ 2. 外部ピンのクロック ドメイン出力 外部のウォッチドッグ 2. SW テスト コンフィギュレーション レジスタと意図する機能 CLK6 外部のウォッチドッグ 内部のウォッチドッグ 2. SW テスト コンフィギュレーション レジスタと意図する機能 CLK7 FPGA ファブリックの PLL が欠如したクロック検出とセカンダリ クロックへの自動切り替え Clock Checker Diagnostic IP コア 2. クロック出力 3. SW テストのコンフィギュレーション レジスタ CLK8 FPGA fabric PLL clock output O 1. Clock Checker Diagnostic IP コア 2. PLL が欠如した入力クロックの検出 CLK9 FPGA ファブリック PLL 固定信号 O 1. Clock Checker Diagnostic IP コア 2. PLL が欠如した入力クロックの検出 リセット 関連情報 3-5 ページの Clock Checker Diagnostic IP コア Cyclone V FPGA は FPGA の柔軟なリセット処理を提供します 偶発ハードウェア障害の管理に向けた Cyclone V アーキテクチャ

36 MNL-1079 FPGA のリセット 3-7 FPGA のリセット 電源投入時に FPGA のコンフィギュレーションに必要な電圧モニタする内部リセット電圧スーパーバイザーが 内部パワー オン リセット (POR) を生成します リセットの継続時間は ユーザにて選択が可能です nstatus 信号と CONF_DONE 信号がコンフィギュレーションの完了を表します INIT_DONE 信号は適切な初期化を表し この信号を High にプルアップした後デザインが実行されます FPGA ファブリックにプログラミングするロジックに適切なリセットを設定する必要があります 詳細は Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 7 章 :Cyclone V デバイスのコンフィギュレーション デザイン セキュリティ およびリモート システム アップグレード コンフィギュレーション レジスタの定期的なリード バック コンフィギュレーション レジスタが正確であることを確認するには レジスタの内容をリード バックし その内容と意図した値と比較します その値をレジスタに書き込み このリード バックを定期的に繰り返し実行します 注意 : 一部のレジスタは レジスタをリードする際に自動でクリアするビットを実装することができます アプリケーションのビヘイビアが変更されることがあるので リードが一部のビットのステータスに影響を与えることがないようにします 表 3-5: ISO26262 リファレンス :Configuration Register Test Table D.4 Configuration Register Test Section D 入力 / 出力 FPGA ファブリックの I/O FPGA ファブリックの I/O ストラクチャは非常に柔軟であり 多くのアプリケーションの要件を満たすよう設定することができます Cyclone V デバイスは各シングルエンド I/O を双方向ピンとして実装しているため 入力バッファを介して出力として設定されたピンのステータスをリード バックすることが可能です 詳細は Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 5 章 :Cyclone V デバイスの I/O 機能 偶発ハードウェア障害の管理に向けた Cyclone V アーキテクチャ

37 3-8 コンフィギュレーション レジスタの定期的なリード バック MNL-1079 コンフィギュレーション レジスタの定期的なリード バック コンフィギュレーション レジスタが正確であることを確認するには レジスタの内容をリード バックし その内容と意図した値と比較します その値をレジスタに書き込み このリード バックを定期的に繰り返し実行します 注意 : 一部のレジスタは レジスタをリードする際に自動でクリアするビットを実装することができます アプリケーションのビヘイビアが変更されることがあるので リードが一部のビットのステータスに影響を与えることがないようにします 表 3-6: ISO26262 リファレンス :Configuration Register Test Table D.4 Configuration Register Test Section D Cyclone V FPGA の I/O の使用前提 表 3-7: I/O:Cyclone V FPGA での使用前提 識別子安全または診断機能推奨度潜在的な診断メカニズム IO1 IO3 入力バッファを経由した出力ピン ステータスの FPGA ファブリック I/O リード バック コンフィギュレーション レジスタの定期的なリード バック 専用の独立したチェック ロジック + 1. Cortex-A9 を使用したレジスタ内容のリード バック Cyclone V FPGA のコンフィギュレーション 電源投入時に FPGA はコンフィギュレーション内容をロードし アプリケーション回路を作成します コンフィギュレーション シーケンス中 外部モニタを使用して進捗をモニタするにはステータス信号 (nstatus nconfig CONF_DONE INIT_DONE) を使用することができます 詳細は Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 7 章 :Cyclone V デバイスのコンフィギュレーション デザイン セキュリティ およびリモート システム アップグレード CRC バックグラウンド チェック FPGA ファブリックのコンフィギュレーション内容は CRAM(Configuration RAM) に格納されます CRAM に格納されたコンフィギュレーション フレーム別に CRC を計算する連続バックグ 偶発ハードウェア障害の管理に向けた Cyclone V アーキテクチャ

38 MNL-1079 センシティビティ マップ 3-9 ラウンド チェックをイネーブルすることができます CRC は シングルとマルチビット障害を検出し CRC_ERROR ピンを介して検出された問題を表示することができます このバックグラウンド チェックが実装した回路の通常の機能に影響を与えることはありません CRC 回路が検出した障害に間違いがないことを検証するには CRC 計算に障害を注入し CRAM の変化をエミュレートします センシティビティ マップデザインは SRAM セルをすべて使用するわけではありません 使用しているセルに障害が発生する場合にのみ 回路のビヘイビアに影響が現れます Quartus II ソフトウェアは 検出された障害が care ビットあるいは don t care ビットで発生したものであるかの判断に使用できるセンシティビティ マップを生成します 図 3-2: センシティビティ マップ Location Information CRC Engine FPGA CRC Error CRITICAL_ERROR Sensitivity Processor (Soft Logic) Memory Access Logic External Serial/Parallel Flash この深刻なエラー検出ソリューションの手順は 以下のとおりです 1. 内蔵のソフト エラー検出回路がコンフィギュレーション ソフト エラーを検出 特定し CRC_ERROR ピンをアサートします 2. 次に ソフト ロジックがエラー情報を受け取り その情報を使用して コンフィギュレーション ビットのどれが care で どれが don t care であるかを示すマップを含むファイル内のアドレスを算出します 3. 次に ソフト ロジックは アクティブ シリアル コンフィギュレーション ポートなどのユーザー指定メモリ インタフェースを使用して センシティビティ マップ ファイル内の該当ビットにアクセスし FPGA に現在コンフィギュレーションされているデザインにとって そのコンフィギュレーション ソフト エラーが重大かどうかを判定します 4. コンフィギュレーション ソフト エラーが don t care である場合 FPGA は動作エラー無しで機能し続けることができます コンフィギュレーション ソフト エラーが care で 動作に影響を与える可能性がある場合は Cyclone V FPGA または FPGA が CRITICAL_ERROR ピンをアサートするため システム上の適切な動作を実行することができます 詳細は Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 8 章 :Cyclone V デバイスでの SEU の緩和 偶発ハードウェア障害の管理に向けた Cyclone V アーキテクチャ

39 3-10 冗長ロジックの実装 冗長ロジックの実装 FPGA ファブリックのコンフィギュレーションにて障害を検出しやすくするには デザインに冗長ロジックを含めた後 冗長ロジックの出力を比較します Cyclone V FPGA の FPGA コンフィギュレーションの使用前提 MNL-1079 表 3-8: FPGA コンフィギュレーションの使用前提 識別子安全または診断機能推奨度潜在的な診断メカニズム FPGA_CONF1 FPGA_CONF3 外部モニタによるコンフィギュレーション ステータス信号のモニタ CRAM コンフィギュレーションの継続的な CRC バックグラウンド チェック + 1. ウォッチドッグ バックグラウンド チェックでの定期的な障害の注入 FPGA_CONF4 センシティビティ マップの決定 O 1. 冗長の実行 FPGA_CONF5 冗長ロジック + 1. テスト パターン FPGA ユーザ メモリ Cyclone V デバイスの FPGA ファブリックは M10K ブロックまたは MLAB 内でユーザ メモリを提供します これらのブロックにはそれぞれ エラー検出コードおよびエラー訂正コード (ECC) に対し特別なユーザ機能が使用できる専用パリティ ビットを実装しています この機能は Quartus II ソフトウェアのソフト IP によってサポートされています 詳細は Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 2 章 :Cyclone V デバイスのエンベデッド メモリ ブロック FPGA メモリの使用前提 表 3-9: FPGA メモリ : 使用前提 識別子安全または診断機能推奨度潜在的な診断メカニズム FPGA_UM1 ユーザー メモリ向け ECC フォールト インジェクション テスト 偶発ハードウェア障害の管理に向けた Cyclone V アーキテクチャ

40 偶発ハードウェア障害の管理に向けた Cyclone V SoC アーキテクチャ 4 MNL-1079 更新情報 Cyclone V の概要 Cyclone V は Cyclone プロダクトの第 5 世代であり 28nm LP プロセスで製造されています Cyclone V は HPS とユーザによるプログラムが可能なロジック ファブリックを装備しています デバイス ファミリは主に FPGA ファブリック内で使用できるロジック エレメント (LE) の個数で区別されます デバイス ファミリ間の HPS は同一のものを使用しています Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 登録済 Innovation Drive, San Jose, CA 95134

41 4-2 Cyclone V の概要 MNL-1079 図 4-1: Cyclone V SoC のブロック図 Configuration Controller FPGA-to-HPS HPS-to-FPGA Lightweight HPS-to-FPGA FPGA Fabric FPGA-to-HPS SDRAM FPGA Manager HPS Ethernet MAC (2x) USB OTG (2x) NAND Flash Controller SD/MMC/SDIO Controller DMA Controller Level 3 Interconnect 64 KB Boot ROM CPU0 (ARM Cortex-A9 with NEON/FPU, 32 KB Instruction Cache, 32 KB Data Cache, and Memory Management Unit) ACP ARM Cortex-A9 MPCore CPU1 (ARM Cortex-A9 with NEON/FPU, 32 KB Instruction Cache, 32 KB Data Cache, and Memory Management Unit) SCU L2 Cache (512 KB) Multiport DDR SDRAM Controller with Optional ECC ETR (Trace) Debug Access Port 64 KB On-Chip RAM Low Speed Peripherals (Timers, GPIOs, UART, SPI, I2C, CAN, Quad SPI Flash Controller, System Manager, Clock Manager, Reset Manager, and Scan Manager) マイクロ プロセッサ ユニット (MPU) サブシステムは 独自の L1 命令キャッシュとデータ キャッシュで ARM Cortex -A9 プロセッサを 2 個統合します 両方のプロセッサは インストラクションをフェッチする L2 キャッシュと L3 インターコネクトを経由するデータ または直接 DDR SDRAM コントローラに接続します MPU サブシステムは プロセッサが最新のデータを使用していることを確認するため アクセラレータ コヒーレンシ ポート (ACP) を介して システム内の他のマスターからトランザクションをスヌープします データは L3 インタコネクトに接続されたオンチップ RAM に格納することができます L3 インタコネクトを使用すれば 柔軟なマルチマスタ (MPU ダイレクト メモリ アクセス コントローラ (DMAC) または EMAC など ) によるスレーブ モジュールへのアクセス (UART タイマ または I2C など ) が可能となります 別々のスレーブ モジュールにアクセスする場合 転送は同時に実行が可能です FPGA-HPS 間 (F2H) ブリッジ HPS-FPGA 間 (H2F) ブリッジ ライトウェイト HPS-PGA(LH2F) ブリッジ間でデータを交換することができます 偶発ハードウェア障害の管理に向けた Cyclone V SoC アーキテクチャ

42 MNL-1079 Cyclone V SoC がターゲットとするアプリケーション 4-3 Cyclone V SoC がターゲットとするアプリケーション Altera Cyclone V SoC デバイスは産業およびオートモーティブ分野において機能安全を重視するアプリケーションでの使用を含む多様なアプリケーション要件を満たします これには以下が含まれます 先進運転支援システム ハイブリッド車や電気自動車向けモータ制御および DC-DC コンバータ インフォテインメント システム アルテラは Cyclone V SoC の開発にあたって SEooC(Safety Element out of Context) 方法論を採用しています FPGA は 標準的なコンポーネント ( 例 : マイクロ コントローラ ) の使用と比較してユーザに一定の責任をシフトするユーザ定義のロジック IP とアプリケーションの統合に高度な柔軟性を提供します コンポーネント レベルのみでは ISO26262: の機能安全の達成は不可能です むしろ アイテムの全体的な安全コンセプトとして機能します アルテラの Cyclone V SoC 製品は アイテムに対しターゲットとする ASIL レベルの達成の簡易化を可能にします Cyclone V SoC ハードウェアのアーキテクチャ Cyclone V SoC デバイスのアーキテクチャは 必要に応じてアプリケーション実行中に使用可能なクロック 電源 メモリなどを継続して動作させる診断手法を含みます アプリケーションは アプリケーションを実行する安全性が重要な部分にこれらの機構を使用する前に これらの機構が正しく動作することを確認しておく必要があります 図 4-2: Cyclone V SoC の上位レベルのブロック図 この図は Cyclone V SoC アーキテクチャの上位レベルのブロック図を示し HPS と FPGA 間の専用インタフェースを持つ HPS と FPGA を示しています HPS Portion Altera SoC FPGA Device FPGA Portion Flash Controllers SDRAM Controller Subsystem Cortex-A9 MPU Subsystem On-Chip Memories PLLs Interface Peripherals Support Peripherals Debug HPS-FPGA Interfaces Control Block User I/O HSSI Transceivers FPGA Fabric (LUTs, RAMs, Multipliers & Routing) PLLs Hard PCIe Hard Memory Controllers 偶発ハードウェア障害の管理に向けた Cyclone V SoC アーキテクチャ

43 4-4 Cyclone V SoC ハードウェアのアーキテクチャ MNL-1079 HPS 部と FPGA 部は それぞれ独自の専用コア電源 クロック I/O ストラクチャを持ち 物理的に 2 つに分かれているため 実質的には互いに独立しています HPS は 対称型マルチ プロセッシング (SMP) と非対称型マルチプロセッシング (AMP) コンフィギュレーションで動作可能な 2 個の Cortex-A9 プロセッサを含んでいます Cortex-A9 プロセッサは多くの場合 アプリケーションのメイン コントローラとして使用されます FPGA は その柔軟性により 独自のハードウェア アクセラレータを実装することができるオプションを提供します また システム内またはチップ上の障害を検出するためのカスタム診断機能を作成することも可能です 図 4-3: Cyclone V SoC の詳細なブロック図 次の図は Cyclone V SoC のより詳細なブロック図を示します FPGA Portion FPGA to HPS HPS to FPGA Lightweight HPS to FPGA Control Block Masters Slaves Slaves 32-, 64-, 128-Bit AXI 32-, 64-, 128-Bit AXI 32-Bit AXI 1-6 Masters FPGA Manager FPGA-to-HPS Bridge HPS-to-FPGA Bridge Lightweight HPS-to-FPGA Bridge L4, 32-Bit Bus 32-Bit 64-Bit AXI 64-Bit AXI 32-Bit AXI DAP ETR 32-Bit 32-Bit L3 Interconnect (NIC-301) 64-Bit MPU Subsystem ACP ID Mapper ARM Cortex-A9 MPCore CPU0 CPU1 ACP SCU SD/MMC EMAC (2) USB OTG (2) NAND Flash 32-Bit 32-Bit 32-Bit 32-Bit L3 Master Peripheral Switch 32-Bit 32-Bit L3 Main Switch 64-Bit 32-Bit 32-Bit 64-Bit 32-Bit 64-Bit STM Boot ROM On-Chip RAM DMA L2 Cache SDRAM Controller Subsystem 32-Bit 32-Bit 32-Bit L3 Slave Peripheral Switch 32-Bit Quad SPI Flash L4, 32-Bit Bus CAN (2) Timer (4) I 2C (4) Watchdog Timer (2) UART (2) GPIO (3) SPI (4) Clock Manager Reset Manager Scan Manager System Manager 偶発ハードウェア障害の管理に向けた Cyclone V SoC アーキテクチャ

44 MNL-1079 Cyclone V SoC の診断メカニズムおよび使用にあたっての前提条件 4-5 Cyclone V SoC デバイス上の一部のモジュールは あらかじめハードウェア診断が組み込まれていますが 他のモジュールは 偶発ハードウェア障害を検出するにあたって システム レベルの概念に依存しています Cyclone V SoC の診断メカニズムおよび使用にあたっての前提条件 電源 この項では 潜在的な障害を検出する目的でアプリケーション内の IP コアまたは機能に実装された診断メカニズムおよびアプリケーション内の IP コアまたは機能の使用にあたっての前提条件について説明します この項では 該当する場合は ISO26262: をはじめとするドキュメントを参照します 特定の IP コアまたは機能の前提条件の表は 以下の推奨レベルがあります M は必須を表します ++ は強く推奨を表します + は推奨を表します O はオプションを表します 各表には ISO26262: による潜在的な診断メカニズムを示しています 電源レール Cyclone V SoC はコア ロジックおよび I/O に対し HPS と FPGA ファブリックの異なる電圧レールをサポートします 供給電圧の影響に関係する HPS と FPGA ファブリック内の一般的な障害を効率的に軽減することができます アルテラは アプリケーションが HPS および FPGA ファブリックに専用の電源を提供することを想定しています 詳細については Cyclone V Device Family Pin Connection Guidelines の資料番号 PCG を参照してください 内部電圧モニタ Cyclone V デバイスは いくつかの電源レールに向けて内部電圧モニタを実装します 特定のレールの電圧が仕様以外であれば デバイスは内部リセットを発行します アルテラは モニタされていない電圧レールに向けて異なる電圧モニタがアイテムによって実装されていると想定しています Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 10 章 :Cyclone V デバイスの電源管理 偶発ハードウェア障害の管理に向けた Cyclone V SoC アーキテクチャ

45 4-6 Cyclone V SoC の電源の使用前提 Cyclone V SoC の電源の使用前提 MNL-1079 表 4-1: 電源の使用前提 識別子安全または診断機能推奨度潜在的な診断メカニズム PWR1 内部電圧モニタ M 外部電圧モニタ PWR2 PWR3 外部電圧スーパーバイザー HPS と FPGA ファブリックへの別々の電源 ++ 内部電圧モニタ ++ 外部電圧モニタ クロック クロック入力 Cyclone V SoC は複数のクロック入力をサポートしているため HPS と FPGA ファブリックを別々にクロックすることが可能です クロック入力を複数にすることで クロックに関連する一般的な障害を防ぐことができます HPS は HPS 内で異なるクロック領域を駆動するために 2 つのクロック入力を提供します また FPGA ファブリック内のクロックは複数の入力クロックで駆動が可能であり FPGA ファブリック内のロジックは複数の独立したクロック ネットワークで駆動することができます Cyclone V Device Handbook を参照してください Volume 3: ハード プロセッサ システムのテクニカル リファレンス マニュアル 第 2 章 : クロック マネージャ Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 4 章 :Cyclone V デバイスのクロック ネットワークおよび PLL FPGA のクロッキング 別々の PLL が HPS 内の異なるクロック領域を駆動します 異なる入力クロックは FPGA ファブリックからルーティングされたクロックを含む このような PLL を駆動することができます PLL の出力は 異なるクロック領域と FPGA ファブリックに接続します Cyclone V Device Handbook を参照してください Volume 3: ハード プロセッサ システムのテクニカル リファレンス マニュアル 第 2 章 : クロック マネージャ 偶発ハードウェア障害の管理に向けた Cyclone V SoC アーキテクチャ

46 MNL-1079 Clock Checker Diagnostic IP コア 4-7 Clock Checker Diagnostic IP コア FPGA ファブリック内で Clock Checker Diagnostic IP コアをインスタンス化し 独立リファレンス クロックを Diagnostic IP コアにフィードすることで PLL 出力クロックの正確さを判断することができます 関連情報 3-5 ページの Clock Checker Diagnostic IP コア HPS 内のウォッチドッグ HPS では クロックの基本的な管理に使用できる数個のウォッチドッグを利用可能です Cortex-A9 MPU は各 Cortex-A9 プロセッサに対し 1 個のウォッチドッグを提供しています HPS は 2 個のシステム ウォッチドッグを提供しています 詳細は Cortex-A9 MPCore Technical Reference Manual ARM DDI 0407 と Cyclone V Device Handbook を参照してください Volume 3: ハード プロセッサ システムのテクニカル リファレンス マニュアル 第 24 章 : ウォッチドッグ タイマ FPGA ファブリック内のウォッチドッグ HPS クロックから独立したクロックがドライブする FPGA ファブリックに向けてウォッチドッグを作成することができます アルテラでは ウォッチドッグの実装に関する推奨事項は特にはありません Table D.10 は ウォッチドッグの実装に関するいくつかの推奨事項を提示しています HPS から十分に独立していることを示すことができるのであれば FPGA ファブリック内にウォッチドッグを実装します 表 4-2: ISO26262 リファレンス :Watchdog with separate time base without time-window Table D.10 Watchdog with separate time base without timewindow Section D 表 4-3: ISO26262 リファレンス :Watchdog with separate time base and time-window Table D.10 Watchdog with separate time base and time-window Section D 偶発ハードウェア障害の管理に向けた Cyclone V SoC アーキテクチャ

47 4-8 外部のウォッチドッグ MNL-1079 表 4-4: ISO26262 リファレンス :Temporal and Logical Monitoring of Program Sequence Table D.10 Combination of temporal and logical monitoring of program sequences Section D 外部のウォッチドッグ 外部ウォッチドッグを実装すると 独立したクロッキング 電源およびリセットが一般的な障害の影響を受けにくくなるという利点をもたらします アルテラでは ウォッチドッグの実装に関する推奨事項は特にはありません Table D.10 は ウォッチドッグの実装に関するいくつかの推奨事項を提示しています HPS から十分に独立していて FPGA ファブリック内にウォッチドッグが実装できない場合 外部ウォッチドッグを実装します 表 4-5: ISO26262 リファレンス :Watchdog with separate time base without time-window Table D.10 Watchdog with separate time base without timewindow Section D 表 4-6: ISO26262 リファレンス :Watchdog with separate time base and time-window Table D.10 Watchdog with separate time base and time-window Section D 表 4-7: ISO26262 リファレンス :Temporal and Logical Monitoring of Program Sequence Table D.10 Combination of temporal and logical monitoring of program sequences Section D 偶発ハードウェア障害の管理に向けた Cyclone V SoC アーキテクチャ

48 MNL-1079 FPGA のクロッキング 4-9 FPGA のクロッキング FPGA ファブリックは 異なる PLL を持つクロックを個別に生成可能な非常に柔軟なクロック ネットワーク トポロジを提供します 異なるクロック ネットワークによって駆動されるロジックを分離することが可能です 詳細は Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 4 章 :Cyclone V デバイスのクロック ネットワークおよび PLL Cyclone V Device Handbook を参照してください Volume 3: ハード プロセッサ システムのテクニカル リファレンス マニュアル 第 2 章 : クロック マネージャ PLL 数 PLL は デバイス クロックの理 外部システム クロックの管理 および高速 I/O インタフェースに向けて堅牢なクロック管理および合成機能を提供します Cyclone V デバイス ファミリは フラクショナル PLL あるいは整数 PLL としても機能できるフラクショナル PLL が含まれています Cyclone V デバイスの出力カウンタは 整数またはフラクショナル周波数合成をサポートする各フラクショナル PLL に使用されます クロック スイッチオーバー機能 Cyclone V のクロック スイッチオーバー機能により PLL は 2 つの基準入力クロックを切り換えることができます この機能はクロック冗長性のために あるいは前のクロックが停止した場合に冗長クロックがオンになるシステムであるデュアル クロック ドメイン アプリケーションに使用します クロックがそれ以上トグルしないとき またはユーザーのコントロール信号に応じて デザインは自動的にクロック スイッチオーバーを実行することができます PLL クロックの出力 Cyclone V のほとんどの PLL は PLL ごとに専用クロック出力もドライブすることができます 外部チェック ロジックはこれを使用して PLL に関連するクロックの問題を検知することが可能です FPGA PLL ロック信号 Cyclone V FPGA ファブリック内の PLL は PLL が入力クロックにロックされていることを示すロック信号も提供します 入力クロックに対して正しい周波数で PLL が実行されていることを確認するには この信号を使用します 詳細は Cyclone V Device Handbook を参照してください Volume 1: デバイスのインタフェースおよび統合 第 4 章 :Cyclone V デバイスのクロック ネットワークおよび PLL コンフィギュレーション レジスタの定期的なリード バック コンフィギュレーション レジスタが正確であることを確認するには レジスタの内容をリード バックし その内容と意図した値と比較します その値をレジスタに書き込み このリード バックを定期的に繰り返し実行します 偶発ハードウェア障害の管理に向けた Cyclone V SoC アーキテクチャ

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール 1 AV-52001 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc Nios II C2H コンパイラに関する Q&A 全般 Q:Nios II C-to-Hardware アクセラレーション コンパイラコンパイラとはとは何ですか A:Altera Nios II C-to- Hardware アクセラレーション コンパイラ ( 以下 Nios II C2H コンパイラ ) とは Nios II ユーザ向けの生産性を高めるツールです 性能のボトルネックとなるC 言語プログラムのサブルーチンを自動的にハードウェア

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編)

SoC はじめてガイド - HPS-FPGA 間のアクセス方法(Arria® V SoC / Cyclone® V SoC 編) ALTIMA Company, MACNICA, Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) Ver.17 2017 年 7 月 Rev.1 ELSENA,Inc. SoC はじめてガイド HPS-FPGA 間のアクセス方法 (Arria V SoC / Cyclone V SoC 編 ) 目次 はじめに...3

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54025-1.2 cv_54025-1.2 ハードウェア プロセッサ システム (HPS) は Cortex -A9 マイクロプロセッサ ユニット (MPU) サブシステム ホスト プロセッサ および CAN プロトコルで使用するダイレクト メモリ アクセス (DMA) コントローラでのシリアル通信用に 2 つのコントローラ エリア ネットワーク (CAN) コントローラを提供しています

More information

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル®  Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 インテル Stratix デバイスの LAB および の概要... 3 2 HyperFlex レジスター... 4...5 3.1 LAB... 5 3.1.1 MLAB... 6 3.1.2 ローカル インターコネクトおよびダイレクトリンク インターコネクト...6 3.1.3 キャリーチェーンのインターコネクト...

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

Introducing_SPIStorm-JP

Introducing_SPIStorm-JP SPI Storm の紹介 USB での SPI Storm は Byte Paradigm の USB Serial Protocol host adapter です SPI Storm は マスターとして SPI (Serial Peripheral Interface) 3-wires SPI dual-spi quad-spi プロトコルをサポートします それは PC から最大 100MHz

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 )

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) PACKAGE COMPLEXITY & TRANSISTOR COUNT 課題 : 実装検査 不良解析 デバッグ プローブ接続では BGA 実装の検査 / 解析 / デバッグができない プローブ接続が困難な高密度実装は増加の一方 このままではテスト費用のほうが高くなる!

More information

Nios II マイコン活用ガイド Nios II マイコンボード紹介 ステップ 1 AuCE C3 製品紹介 AuCE C3 は ソフトコア プロセッサ Nios II( アルテラ社 ) を搭載可能なマイコンボードです 弊社の基本ソフトウェアをインストールし FPGA 開発者のデザインと Nios

Nios II マイコン活用ガイド Nios II マイコンボード紹介 ステップ 1 AuCE C3 製品紹介 AuCE C3 は ソフトコア プロセッサ Nios II( アルテラ社 ) を搭載可能なマイコンボードです 弊社の基本ソフトウェアをインストールし FPGA 開発者のデザインと Nios Nios II マイコン活用ガイド CHAPTER No:010Cmn 対象品 : 目次 Nios II マイコンボード紹介 2 ステップ 1 AuCE C3 製品紹介 2 ステップ 2 AuCE C3 構成 3 ステップ 3 関連ドキュメント概略 10 1 Nios II マイコン活用ガイド Nios II マイコンボード紹介 ステップ 1 AuCE C3 製品紹介 AuCE C3 は ソフトコア

More information

Oracle Business Rules

Oracle Business Rules Oracle Business Rules Manoj Das(manoj.das@oracle.com) Product Management, Oracle Integration 3 Oracle Business Rules について Oracle Business Rules とはビジネスの重要な決定と方針 ビジネスの方針 実行方針 承認基盤など 制約 有効な設定 規制要件など 計算 割引

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

テクニカルホワイトペーパー HP Sure Run HP PC のハードウェア強制されたアプリケーション永続性 HP Sure Run は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の HP Sure

テクニカルホワイトペーパー HP Sure Run HP PC のハードウェア強制されたアプリケーション永続性 HP Sure Run は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の HP Sure テクニカルホワイトペーパー HP PC のハードウェア強制されたアプリケーション永続性 は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の エージェントが攻撃または削除された場合でも 重要なサービスおよびアプリケーションの存在を継続的に監視します はハードウェアレベル (OS より下 ) で HP エンドポイントセキュリティコントローラーと相互作用し

More information

Windows GPO のスクリプトと Cisco NAC 相互運用性

Windows GPO のスクリプトと Cisco NAC 相互運用性 Windows GPO のスクリプトと Cisco NAC 相互運用性 目次 概要前提条件要件使用するコンポーネント表記法背景説明 GPO スクリプトに関する一般的な推奨事項 NAC セットアップに関する一般的な推奨事項設定シナリオ 1 シナリオ 2 トラブルシューティング関連情報 概要 このドキュメントでは PC の起動時 およびドメインへのユーザのログイン時の Windows GPO の設定例について説明します

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

SAC (Jap).indd

SAC (Jap).indd 取扱説明書 機器を使用する前に本マニュアルを十分にお読みください また 以後も参照できるよう保管してください バージョン 1.7 目次 目次 について...3 ご使用になる前に...3 インストール手順...4 ログイン...6 マネージメントソフトウェアプログラムの初期画面... 7 プロジェクタの検索...9 グループの設定... 11 グループ情報画面... 12 グループの削除... 13

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h]) Atom プロセッサ E6x5C の紹介と FPGA IP Core 活 例の紹介 アイウェーブ ジャパン株式会社 神奈川県横浜市中区住吉町 3 丁目 29 番住吉関内ビル8 階 B Tel: 045-227-7626 Fax: 045-227-7646 Mail: info@iwavejapan.co.jp Web: www.iwavejapan.co.jp 2011/5/30 1 iwave Japan,

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

UCB User's Manual

UCB User's Manual UCB-21489 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

使用する前に

使用する前に この章では Cisco Secure ACS リリース 5.5 以降から Cisco ISE リリース 2.4 システムへのデー タ移行に使用される Cisco Secure ACS to Cisco ISE Migration Tool について説明します 移行の概要 1 ページ Cisco Secure ACS から データ移行 1 ページ Cisco Secure ACS to Cisco ISE

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

MAX 10高速LVDS I/Oユーザーガイド

MAX 10高速LVDS I/Oユーザーガイド MAX 10 高速 LVDS I/O ユーザーガイド 更新情報 UG-M10LVDS 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 高速 LVDS I/O の概要... 1-1 アルテラのソフト LVDS 実装の概要...1-2 MAX 10 高速 LVDS のアーキテクチャと機能... 2-1 MAX

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

MAX 10 高速LVDS I/Oユーザー・ガイド

MAX 10 高速LVDS I/Oユーザー・ガイド MAX 10 高速 LVDS I/O ユーザー ガイド 更新情報 UG-M10LVDS 101 Innovation Drive San Jose, CA 95134 www.altera.com 目次 -2 目次 MAX 10 高速 LVDS I/O の概要... 1-1 アルテラ ソフト LVDS 実装の概要...1-2 MAX 10 高速 LVDS のアーキテクチャと機能... 2-1 MAX

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Hik-Connect アカウントにデバイスを追加する方法ユーザーは Hik-Connect APP ウェブポータル ivms4500 アプリまたは ivms クライアント経由で Hik-Connect 機能を有効にすることができます 注 : iv

Hik-Connect アカウントにデバイスを追加する方法ユーザーは Hik-Connect APP   ウェブポータル ivms4500 アプリまたは ivms クライアント経由で Hik-Connect 機能を有効にすることができます 注 : iv 概要 Hik-Connect は 動的ドメイン名サービスとアラームプッシュ通知サービスを統合した Hikvision によって導入された新しいサービスです これは デバイスがインターネットに接続するための簡単な方法を提供します このマニュアルは Hik-Connect サービスを追加する方法をユーザーに示すためのガイドです 注 :: ユーザーエクスペリエンスを向上させるために ルーターとデバイスの両方で

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数 2 A10-MEMORY 署名 デバイス内のエンベデッド メモリ ブロックには柔軟性があり デザイン要件に合った最適な小規模メモリ アレイおよび大規模メモリ アレイを提供できるようデザインされています 関連情報 Arria 10 Device Handbook: Known Issues >Arria 10 Device Handbook の章にて予定される更新をリストします エンベデッド メモリのタイプ

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

Silk Central Connect 15.5 リリースノート

Silk Central Connect 15.5 リリースノート Silk Central Connect 15.5 リリースノート Micro Focus 575 Anton Blvd., Suite 510 Costa Mesa, CA 92626 Copyright Micro Focus 2014. All rights reserved. Silk Central Connect は Borland Software Corporation に由来する成果物を含んでいます,

More information

Cyclone III デバイス・ファミリの メモリ・ブロック

Cyclone III デバイス・ファミリの メモリ・ブロック この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください Cyclone III デバイス ファミリ (Cyclone III および Cyclone III LS デバイス ) は アルテラの Cyclone III デバイス ファミリのデザインのオンチップ メモリの要件に対応するエンベデッド

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) ver.1 2015 年 4 月 Rev.1 ELSENA,Inc. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) 目次 1. はじめに...3

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

セットアップユーティリティユーザガイド

セットアップユーティリティユーザガイド セットアップユーティリティユーザガイド Copyright 2007, 2008 Hewlett-Packard Development Company, L.P. Windows は米国 Microsoft Corporation の米国およびその他の国における登録商標です 本書の内容は 将来予告なしに変更されることがあります HP 製品およびサービスに関する保証は 当該製品およびサービスに付属の保証規定に明示的に記載されているものに限られます

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

Oracle SQL Developer Data Modeler

Oracle SQL Developer Data Modeler Oracle SQL Developer Data Modeler テクニカル レビュー - 2009 年 6 月 アジェンダ テクニカル レビューおよび機能レビュー 開発者の生産性に重点 Oracle SQL Developer Data Modeler の概要 対象 テクノロジー 機能のレビュー パッケージの更新 Oracle SQL Developer

More information

ソフトウェアの説明

ソフトウェアの説明 CHAPTER 2 この章では Cisco Edge Craft とその機能の概要について説明します 2.1 概要 Cisco Edge Craft は ネットワーク要素を 1 つずつ運用状態にする場合に使用します Cisco Edge Craft でできるのは ネットワーク要素に保存されている情報の表示と その情報に関する操作だけです Cisco Edge Craft のグラフィカルユーザインターフェイス

More information

OpenLAB Data Store Release Notes

OpenLAB Data Store Release Notes Agilent OpenLAB Data Store バージョン A.02.02 リリースノートおよび更新履歴 注意 Agilent Technologies, Inc. 2014 本マニュアルは米国著作権法および国際著作権法によって保護されており Agilent Technologies, Inc. の書面による事前の許可なく 本書の一部または全部を複製することはいかなる形式や方法 ( 電子媒体による保存や読み出し

More information

PassSureExam Best Exam Questions & Valid Exam Torrent & Pass for Sure

PassSureExam   Best Exam Questions & Valid Exam Torrent & Pass for Sure PassSureExam http://www.passsureexam.com Best Exam Questions & Valid Exam Torrent & Pass for Sure Exam : 1z0-950-JPN Title : Oracle Data Management Cloud Service 2018 Associate Vendor : Oracle Version

More information

Oracle Cloud Adapter for Oracle RightNow Cloud Service

Oracle Cloud Adapter for Oracle RightNow Cloud Service Oracle Cloud Adapter for Oracle RightNow Cloud Service Oracle Cloud Adapter for Oracle RightNow Cloud Service を使用すると RightNow Cloud Service をシームレスに接続および統合できるため Service Cloud プラットフォームを拡張して信頼性のある優れたカスタマ

More information

Using VectorCAST/C++ with Test Driven Development

Using VectorCAST/C++ with Test Driven Development ホワイトペーパー V2.0 2018-01 目次 1 はじめに...3 2 従来型のソフトウェア開発...3 3 テスト主導型開発...4 4...5 5 TDD を可能にするテストオートメーションツールの主要機能...5 5.1 テストケースとソースコード間のトレーサビリティー...5 5.2 テストケースと要件間のトレーサビリティー...6 6 テスト主導型開発の例...7 2 1 はじめに 本書では

More information

このマニュアルについて

このマニュアルについて 改訂 : May 30, 2007, ここでは の対象読者 構成 表記法 入手方法 テクニカルサポートの利用方法について説明します このマニュアルでは Service Control ソリューション Service Control Engine(SCE) プラットフォーム および関連コンポーネントの概念に関する基本的な知識があることを前提としています ここでは 以下のトピックに関する情報を提供します

More information

Veritas System Recovery 16 Management Solution Readme

Veritas System Recovery 16 Management Solution Readme Veritas System Recovery 16 Management Solution Readme この README について Veritas System Recovery 16 のソフトウェア配信ポリシーのシステム要件 Veritas System Recovery 16 Management Solution のシステム要件 Veritas System Recovery 16 Management

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

Welcome-Kit ~STM32L4-Nucleo~

Welcome-Kit ~STM32L4-Nucleo~ STM32CubeMX の使い方 0 STM32CubeMX ダウンロード 1 1 ST マイクロ社 HP より STM32CubeMX インストーラーをダウンロードし インストーラーの表示に沿ってインストールします URL : http://www.st.com/content/st_com/ja/products/development-tools/software-development-tools/stm32-

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部システムコア技術統括部 * 1 shimizu.toru@renesas.com * 2 hasegawa.atsushi@renesas.com * 3 hattori.toshihiro@renesas.com

More information

ISE 10.1 Editor Presentation

ISE 10.1 Editor Presentation デザイン ツールの最新版 ISE Design Suite 10.1 * この資料に記載されている会社名 製品名は 各社の登録商標または商標です 本日のニュース 1 常に業界をリードしてきた ISE デザイン ツール 2 デザイン ツールを取り巻く要因と業界の重要課題 3 ISE Design Suite 10.1 の紹介 4 まとめ ISE Design Suite 10.1 2 ザイリンクスのデザイン

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

15288解説_D.pptx

15288解説_D.pptx ISO/IEC 15288:2015 テクニカルプロセス解説 2015/8/26 システムビューロ システムライフサイクル 2 テクニカルプロセス a) Business or mission analysis process b) Stakeholder needs and requirements definieon process c) System requirements definieon

More information

AutoCAD のCitrix XenApp 対応

AutoCAD のCitrix XenApp 対応 AutoCAD ニューテクノロジーセミナー AutoCAD の Citrix XenApp 対応 オートデスク株式会社伊勢崎俊明 Citrix 対応 AutoCAD 2012 とは オートデスクのデスクトップ製品用ライセンス方式 Flexera Software 社 FLEXnet テクノロジを利用 スタンドアロンライセンス 1 つのコンピュータに 1 つのラインセンスをインストール アクティベーション処理でライセンスを有効化

More information

ログインおよび設定

ログインおよび設定 この章は 次の項で構成されています の概要, 1 ページ admin パスワードのリセット, 3 ページ パスワードと共有秘密のガイドライン, 3 ページ 共有秘密のリセット, 4 ページ の概要 Cisco UCS Central GUI および Cisco UCS Central CLI の両方を使用して Cisco UCS Central にログ インできます 両方のインターフェイスを使用すると

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

米 国 大 手 半 導 体 メーカーにおける DEFACTO 社 RTL & Gate Editing, Coding, ECOツールの 採 用 事 例 当 社 は DEFACTO STAR RTLプラットフォームの 評 価 を3ヶ 月 間 行 った 後 約 3 年 前 に 導 入 し 現 在 使

米 国 大 手 半 導 体 メーカーにおける DEFACTO 社 RTL & Gate Editing, Coding, ECOツールの 採 用 事 例 当 社 は DEFACTO STAR RTLプラットフォームの 評 価 を3ヶ 月 間 行 った 後 約 3 年 前 に 導 入 し 現 在 使 ご 参 考 ケース スタディ 米 国 大 手 半 導 体 における DEFACTO 社 RTL&Gate Editing, Coding, ECOツール の 採 用 事 例 * 本 レポートの 詳 細 はDeepChipのウェブサイトで 公 開 されております http://www.deepchip.com/items/0530 02.html 1 米 国 大 手 半 導 体 メーカーにおける DEFACTO

More information