Microsoft Word - 実験4_FPGA実験2_2015

Similar documents
Microsoft PowerPoint LC_15.ppt

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

スライド 1

VHDL VHDL VHDL i

フリップフロップ

TECH_I Vol.25 改訂新版PCIデバイス設計入門

HW-Slides-04.ppt

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

プログラミング基礎

論理設計の基礎

Microsoft PowerPoint pptx

VHDL

VelilogHDL 回路を「言語」で記述する

ディジタル電子回路 設計演習課題

Unconventional HDL Programming ( version) 1

<4D F736F F D2091B28BC68CA48B8695F18D902E646F63>

ソフトウェア基礎技術研修

<91E63589F161>

PowerPoint プレゼンテーション

PeakVHDL Max+Plus VGA VG

FPGAによる24時間時計回路

TULを用いたVisual ScalerとTDCの開発

三菱電機マイコン機器ソフトウエア株式会社

HW-Slides-05.ppt

4 分岐処理と繰返し処理 ( 教科書 P.32) プログラムの基本的処理は三つある. (1) 順次処理 : 上から下に順番に処理する ぶんきそろ (2) 分岐処理 : 条件が揃えば, 処理する はんぷく (3) 反復処理 : 条件が揃うまで処理を繰り返す 全てのプログラムは (1) から (3) の

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

(1)デジタル回路の基礎v160415

Microsoft Word - 卒業論文.doc

Handsout3.ppt

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

break 文 switch ブロック内の実行中の処理を強制的に終了し ブロックから抜けます switch(i) 強制終了 ソースコード例ソースファイル名 :Sample7_1.java // 入力値の判定 import java.io.*; class Sample7_1 public stati

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

Java プログラミング Ⅰ 7 回目 switch 文と論理演算子 今日の講義講義で学ぶ内容 switch 文 論理演算子 条件演算子 条件判断文 3 switch 文 switch 文 式が case のラベルと一致する場所から直後の break; まで処理しますどれにも一致致しない場合 def

スライド 1

論理回路設計

プログラミングA

スライド 1

Microsoft PowerPoint - 3.3タイミング制御.pptx

計算機プログラミング

PowerPoint プレゼンテーション

論理回路設計

VLSI工学

プログラミングA

Microsoft Word - VBA基礎(3).docx

Taro-Basicの基礎・条件分岐(公

VBI VBI FM FM FM FM FM DARC DARC


<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

スライド 1

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

Microsoft PowerPoint - 4.CMOSLogic.ppt

電卓の設計 1

FPGA と LUPO その1

新しくシンボルを作成することもできるが ここでは シンボル :opamp2.asy ファイル を回路と同じフォルダにコピーする コピーしたシンボルファイルをダブルクリックで 開く Fig.4 opamp2 のシンボル 変更する前に 内容を確認する メニュー中の Edit の Attributes の

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

Microsoft PowerPoint - class04.ppt

デジタル回路入門

Java講座

Verilog HDL による回路設計記述

本サンプル問題の著作権は日本商工会議所に帰属します また 本サンプル問題の無断転載 無断営利利用を厳禁します 本サンプル問題の内容や解答等に関するお問 い合わせは 受け付けておりませんので ご了承ください 日商プログラミング検定 STANDARD(VBA) サンプル問題 知識科目 第 1 問 ( 知

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

プログラミング基礎

プログラミング入門1

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー

Microsoft PowerPoint LCB_8.ppt

卒 業 研 究 報 告

Microsoft PowerPoint - 7.Arithmetic.ppt

デザインパフォーマンス向上のためのHDLコーディング法

プログラマブル論理デバイス

Microsoft PowerPoint - 工学ゼミⅢLED1回_2018

Microsoft PowerPoint - exp2-02_intro.ppt [互換モード]

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します

Java プログラミング Ⅰ 7 回目 switch 文と論理演算子 条件判断文 3 switch 文 switch 文式が case の値と一致した場合 そこから直後の break; までを処理し どれにも一致しない場合 default; から直後の break; までを処理する 但し 式や値 1

Report#2.docx

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

Report#2.docx

RSA FA FA AND Booth FA FA RSA 3 4 5

回路設計 WEBラボ:10ビットのプチDACをRTLで動かしてみる(おまけソースつき)

JavaプログラミングⅠ

* ライブラリ関数 islower(),toupper() を使ったプログラム 1 /* 2 Program : trupper.c 3 Student-ID : K 4 Author : TOUME, Kouta 5 Comments : Used Library function i

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n

Microsoft PowerPoint - LogicCircuits01.pptx

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

JavaプログラミングⅠ

今回の内容 マイクロコンピュータにおけるプログラミング 機器の中に組み込まれる ロボット 自動車 家電 などいろいろな分野で利用 プログラムを用いて外部の装置を動作させる マイコンから の出力 発光ダイオード Light Emitting Diode 液晶ディスプレイ Liquid Crystal

PowerPoint プレゼンテーション

ETCB Manual

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

データ構造

スライド 1

Transcription:

FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ( その7) 内容実験の説明 実験 (1) の予備実験実験 (1) の予備実験のまとめ実験 (1) の計画書の作成とチェック実験 (1) の実施実験 (1) のレポートの作成実験 (1) のレポートのチェック実験 (2) の予備実験実験 (2) の予備実験のまとめ実験 (2) の計画書の作成とチェック実験 (2) の実施実験 (2) のレポート作成プレゼンテーション 3. 実験 3-1. 実験の手順次の手順で実験を進める なお (S1) から (S3) は 予め実験計画書にまとめておくこと 予備実験はレポートに書かなくても良い (S1) 準備 ( 実験方法の基本的な考え方をまとめる ) (S2)FPGA で実現する回路の構成をブロック図 入出力信号を真理値表で表す (S3)VHDL コードの作成 ( コーディング ) (S4) 論理合成 配置配線及びダウンロードの実行と動作の確認 実験ボードの取り扱い及びパソコンの操作については 下記のサイト に掲載する資料 ( 実験補助テキスト ) を参考にしてください http://esato.net/ex/ 1

3-2. 実験ボードザイリンクス社製 XC3S700A-4FGG400C(Spartan-3A) System Gate 700K Equivalent Logic Cells 13,248 3-3. 実験 (1) デコーダ回路 3-3-1. 予備実験 (1) SW6 とSW7を操作し 7セグメントLEDのD30に0から3を表示させる回路を作成する (S1) 準備 ( 実現方法の基本的な考え方をまとめる ) 実験ボードでは プッシュスイッチSW6が図 1のとおりFPGAのM7ピンに接続されている またSW7も同様の回路で FPGAのL6ピンに接続されている SW6 及びSW7 のOFF/ONとFPGAの入力信号 ( 1 -High / 0 -Low) の関係は 表 1で表される 表 1 SWの状態とFPGA 入力信号 SW6 FPGA M7 SW7 FPGA L6 OFF OFF ON ON 図 1 プッシュスイッチ SW6 7セグメント LEDのD30とFPGAの接続を図 2に示す 使用している7セグメント LED は アノードコモンタイプであり FPGA の U15 ピンを High にすることで表示が可能になる また セグメントの割当を図 3に示す 各セグメントはカソード側に接続された FPGAのピン出力をHighにすることで点灯する 7セグメントLED に0から3を表示させるには FPGAから表 2の組み合わせで信号を出力する必要がある 2

図 2 7 セグメント LED D30 図 3 セグメント割当 7Seg. LED 表示 0 1 2 3 表 2 7セグメントに0から3を表示させるための出力信号各セグメント (FPGAのピン) の出力信号 [ 1 -High / 0 -Low] * D.P g f e d c (T13) (R13) (U9) (Y11) (W10) (W9) b a (Y9) (Y7) (S2) FPGA で実現する回路の構成をブロック図 入出力信号を真理値表で表す FPGAで実現するデコーダ回路は 入力信号が2ビット 出力信号が8ビットとなる 入出力信号は共にベクトル型で扱うものとし その変数と回路の構成を図 4 入出力信号の関係を表 3に示す 3

図 4 FPGA で実現するデコータ回路のブロック図 表 3 FPGAで実現するデコーダ回路の真理値表入力出力 A(1) A(0) L(7) L(6) L(5) L(4) L(3) L(2) L(1) L(0) 0 0 0 1 1 0 1 1 (S3) VHDL コードの作成 ( コーディング ) 7 セグメント LED に 0 から 3 を表示させるための VHDL コードを以下に示す < リスト 1 デコーダ回路の例 SEG01.vhd> 1 library IEEE; 2 use IEEE.STD_LOGIC_1164.ALL; 3 use IEEE.STD_LOGIC_ARITH.ALL; 4 use IEEE.STD_LOGIC_UNSIGNED.ALL; 5 6 entity SEG01 is 7 Port ( A : in STD_LOGIC_VECTOR (1 downto 0); 8 L : out STD_LOGIC_VECTOR (7 downto 0); 9 SEG : out STD_LOGIC); 10 end SEG01; 11 4

12 architecture Behavioral of SEG01 is 13 begin 14 process (A) 15 begin 16 case A is 17 when "11" => L <= " "; 18 when "10" => L <= " "; 19 when "01" => L <= " "; 20 when "00" => L <= " "; 21 when others => null; 22 end case; 23 end process; 24 25 SEG <= '1'; 26 end Behavioral; SEG は 7 セグメント LED D30 の選択に利用されている 今回の 7 セグメント LED の利用は D30 のみであるため 25 行目で 1 を出力する (S4) 論理合成 配置配線及びダウンロードを実行し 目的の動作が実現出来な い場合には 表 2 の信号パターンや VHDL コード 開発環境の操作手順を見直す こと SW7 表 4 予備実験 (1) の結果 SW6 7 セグメント LED(D30) の表示 3-3-2. 実験 (1) 4つのプッシュスイッチを利用して7セグメントLEDに0から9を表示させる回路を作成せよ なお 実験ボードのプッシュスイッチSW16はFPGAのL7ピン SW17はK5 ピンに接続されている まず実験の手順 (S1) から (S3) の実験計画書を作成する 次に実験計画書に基づいて (S4) を実行し 結果をまとめる 5

3-3-3. 追加課題図 5はブロック図と真理値表を用いて動作を表しているのに対して 図 6では回路図を示している VHDLでコードを記述する際にも 同様にリスト2( 動作記述 ) とリスト 2( 構造記述 ) の2 種類の書き方がある まずは リスト 3を参考にして実験 1のデコーダ回路を構造記述で実現し 次に動作記述並びに構造記述の長所 短所について検討せよ 真理値表 入力 出 A B AND/OR F 力 A B S F 0 0 0 0 S ブロック図 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 0 0 1 1 1 1 0 1 1 1 1 1 1 図 5 AND/OR セレクタ回路 ( 動作図 ) A B X = A B Y = A S S F = X + Y + Z X, Y, Z は内部信号としてアーキテクチャ宣言内の信号宣言部において 信号名とデータ型を記す Z = B S 図 6 AND/OR セレクタ回路 ( 構造図 ) 6

リスト 2( 動作記述 ) entity sample1 is Port( A, B, S : in std_logic ; F : out std_logic ) ; end sample1 ; architecture Behavioral of sample1 is begin process( A, B, S ) begin case S is when '0' => F <= A and B ; when '1' => F <= A or B ; when others => null ; end case ; end process ; end Behavioral ; リスト 3( 構造記述 ) entity sample2 is Port( A, B, S : in std_logic ; F : out std_logic ) ; end sample1 ; architecture Behavioral of sample1 is signal X, Y, Z : std_logic ; < 内部信号の宣言 > signal 信号名 : データ型 ; begin X <= A and B ; Y <= A and S ; Z <= B and S ; F <= X or Y or Z ; end Behavioral ; 7

3-4. 実験 (2) カウンタ回路 3-4-1. 予備実験 (2) FPGA のシステムクロックを利用して約 1 秒毎に汎用 LED7が点滅する回路を作成する (S1) 実験ボードでは FPGAのE11ピンに133MHzのシステムクロックが入力されている 約 1Hzのクロックを生成するには このシステムクロックを1/2 27 に分周すれば良い 2 27 = 133 10 6 / 2 27 = 汎用 LED7 は 図 5 のとおり FPGA の R3 と接続され ており Low レベルの信号出力があった場合に点灯 する 図 7 汎用 LED7 (S2) FPGA で実現する分周回路の構成を図 6 に示す この回路には システムクロックを 1/2 27 に分周するために内部信号 D0( ベクトル型 27 ビット ) を設け 表 4 のとおり2 進数でシステムクロックの立ち上がりエッジをカウントアップする D0の値が 011111111111111111111111111 になった時に出力信号 CE= 1 とし 111 1111 1111 1111 1111 1111 1111 になった時にはCE= 0 とする システムクロック 133MHz CLK 分周回路 CE LED7 図 8 分周回路 8

表 4 分周回路に設けた内部信号 D0と出力信号 CEの関係 10 進数 D0 CE 0 000 0000 0000 0000 0000 0000 0000 0 1 000 0000 0000 0000 0000 0000 0001 0 : : : 67,108,862 011 1111 1111 1111 1111 1111 1110 0 67,108,863 011 1111 1111 1111 1111 1111 1111 1 : : : 134,217,726 111 1111 1111 1111 1111 1111 1110 1 134,217,727 111 1111 1111 1111 1111 1111 1111 0 (S3) < リスト 4 分周回路の例 CNT01.vhd> 1 library IEEE; 2 use IEEE.STD_LOGIC_1164.ALL; 3 use IEEE.STD_LOGIC_ARITH.ALL; 4 use IEEE.STD_LOGIC_UNSIGNED.ALL; 5 6 entity CNT01 is 7 Port ( CLK : in STD_LOGIC; 8 CE : out STD_LOGIC); 9 end CNT01; 10 11 architecture Behavioral of CNT01 is 12 signal D0 : std_logic_vector(26 downto 0); 13 14 begin 15 process (CLK) 16 begin 17 if CLK'event and CLK='1' then 18 D0 <= D0 + '1'; 19 end if; 20 end process; 21 22 process (D0) 23 begin 24 if D0 = "011111111111111111111111111" then 25 CE <= '1'; 9

26 elsif D0 ="111111111111111111111111111" then 27 CE <= '0'; 28 end if; 29 end process; 30 31 end Behavioral; (S4) 論理合成 配置配線及びダウンロードを実行し 目的の動作が実現出来な い場合には 表 2 の信号パターンや VHDL コード 開発環境の操作手順を見直す こと 3-4-2. 実験 (2) 予備実験を参考にして 約 1 秒毎に表示が切り替わる10 進カウンタを作成する なおカウンタ値の表示は 汎用 LED4からLED7を利用する 各 LEDのFPGA への接続先を表 5に示す 各 LED は LED7と同様にLowレベル出力で点灯する まず実験の手順 (S1) から (S3) の実験計画書を作成する 次に実験計画書に基づいて (S4) を実行し 結果をまとめる 表 5 汎用 LEDの接続先 汎用 LED FPGAのピン LED4 T4 LED5 U1 LED6 U3 LED7 R3 システムクロック 133MHz CLK 分周回路 CE 10 進カウンタ QE(3) QE(2) QE(1) LED4 LED5 LED6 QE(0) LED7 図 9 10 進カウンタ 10

ヒントリスト 5 カウンタ回路の例 ( 改良点のみ ) 31 process (CE) 32 begin 33 if (CE'event and CE='1') then 34 if(qe =" ") then 35 QE <="0000"; 36 else 37 QE <= QE + '1'; 38 end if; 39 end if; 40 end process; QE を新たに出力端子としてエンティティ宣言する 一方 CE は内部変数 として D0 と同様にアーキテクチャ宣言部へ移動します 3-4-3. 追加課題 プッシュスイッチ SW6 を押すと 7 セグメント LED の表示が 9 となり 9 から 0 まで約 1 秒毎にカウントダウンするタイマを作成せよ ヒント 実験 (1) と実験 (2) の回路を合わせる 10 進カウンタに SW6 によるプリセット機能を設ける 各回路の入出力の論理 (High/Low) に注意すること システムクロック 133MHz 分周回路 CE 10 進カウンタデコーダ プッシュスイッチ SW6 QE(0)~QE(3) SEG L(0)~L(7) 7セグメントLED 表示器 (D30) 図 10 10 進カウンタの応用 11

4. 付録 :VHDL の書き方 VHDL のコードは ライブラリ宣言 エンティティ宣言 アーキテクチャ宣言の3ブロックからなる 1ライブラリ宣言データ型や演算子などを利用するために必要なライブラリとパッケージを指定する library ライブラリ名 ; use ライブラリ名. パッケージ名 ; 2 エンティティ宣言 入出力の設定 エンティティ名は 他のファイルと重複しないようにし モード型は入出力の区別 (input/output/inout; 双方向 ) を行う entity エンティティ名 is port ( ポート名 : モード型データ型 ); end エンティティ名 ; 3アーキテクチャ宣言デジタル回路の機能を記述 信号宣言部は 内部信号を記述する部分であり signal 文を使用する 機能宣言部は begin と end で挟まれた部分であり デジタル回路の論理機能を記述する 演算結果の代入には <= 記号を用いる architecture アーキテクチャ名 of エンティティ名 is 信号宣言部 begin 機能宣言部 end アーキテクチャ名 ; 12

A1. 論理演算子 演算子 機能 and 論理積 or 論理和 not 論理否定 nand 否定論理積 nor 否定論理和 xor 排他的論理和 xnor 否定排他的論理和 例 ) X <= A and B ; A とBの論理積をとり X に格納 Y <= not C ; Cの否定をとり Y とする A2. データ型 std_logic 0,1などの論理値 std_logic_vector std_logicのベクトル値 例えば 複数ビットの論理データはベクトルとして次のようにまとめることができる A0: in std_logic; A1: in std_logic; A: in std_logic_vector(2 downto 0); A2: in std_logic; * A(2),A(1),A(0) とみなす A3. Signal 文回路の内部信号は アーキテクチャ宣言の信号宣言部において以下のように記述する signal 信号名 : データ型 ; B1. Process 文センシティビティリストに記述した信号が変化すると シーケンシャル領域に記述した内容を上から順に実行する [ ラベル名 :] process( センシティビティリスト ) begin シーケンシャル領域 上から順に実行 end process [ ラベル名 ]; ** アーキテクチャ宣言部で記述した複数の文は 通常同時に ( 並行 ) 実行される 一般のプログラミング言語 ( アセンブラ, C など ) のようにシーケンシャルに実行させる必要がある場合には process 文を利用する 13

B2. If 文条件が真 ( 成立 ) の場合には順次処理 1 が実行され 偽の場合には順次処理 2 が実行される else 部は省略することもできる if ( 条件 ) then 複数の条件を判定したい場合には 順次処理 1 ; if ( 条件 1 ) then else 順次処理 1; 順次処理 2 ; elsif ( 条件 2 ) then end if; 順次処理 2; else 条件の記載に用いる関係演算子には 順次処理 3; A = B AとBは等しい end if; A /= B AとBは等しくない A < B AはBより小さい A >= B AはB 以上などがある B3. Case 文信号の値によって処理が選ばれる もし 一致するものが無ければ when others で指定した処理 n が実行される case ( 信号名 ) is when 信号の値 1 => 処理 1 ; when 信号の値 2 => 処理 2 ; : when others => 処理 n ; end case ; D. 実習ボード TS102( 東京エレクトロンデバイス ) 27 セグメント LED D30 3 汎用 LED7( 右端 ) 4 プッシュスイッチ SW17 SW7 SW7 SW6 1 電源スイッチ 14