論理設計の基礎

Similar documents
VHDL

VHDL VHDL VHDL i

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

Unconventional HDL Programming ( version) 1

スライド 1

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

Microsoft Word - 実験4_FPGA実験2_2015

スライド 1

TECH_I Vol.25 改訂新版PCIデバイス設計入門

フリップフロップ

I II III 28 29

生活設計レジメ

44 4 I (1) ( ) (10 15 ) ( 17 ) ( 3 1 ) (2)


main.dvi

PLDとFPGA


COINS 5 2.1

Microsoft PowerPoint LC_15.ppt


starc_verilog_hdl pptx

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

ネットリストおよびフィジカル・シンセシスの最適化

RSA FA FA AND Booth FA FA RSA 3 4 5

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

Nios II 簡易チュートリアル


i


Wide Scanner TWAIN Source ユーザーズガイド

Microsoft Word - ②(添付資料)家庭の夏期節電実態調査の結果について

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

untitled

untitled

第1部 一般的コメント

Design at a higher level

1 (1) (2)

- 2 -


PR映画-1

第1章 国民年金における無年金

II III I ~ 2 ~

中堅中小企業向け秘密保持マニュアル



橡ミュラー列伝Ⅰ.PDF

untitled

表1票4.qx4

福祉行財政と福祉計画[第3版]

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

橡災害.PDF

provider_020524_2.PDF

TULを用いたVisual ScalerとTDCの開発

「産業上利用することができる発明」の審査の運用指針(案)

VBI VBI FM FM FM FM FM DARC DARC



Nios II ハードウェア・チュートリアル

VLD Kazutoshi Kobayashi

土木工事の

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

デザインパフォーマンス向上のためのHDLコーディング法

PeakVHDL Max+Plus VGA VG

178 5 I 1 ( ) ( ) ( ) ( ) (1) ( 2 )

論理回路設計

FPGAメモリおよび定数のインシステム・アップデート

untitled

Verilog HDL による回路設計記述

卒 業 研 究 報 告

26 FPGA FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

FAX780CL_chap-first.fm

FAX780TA_chap-first.fm

untitled

活用ガイド (ソフトウェア編)

「FPGAを用いたプロセッサ検証システムの製作」

LSI LSI 2

ii

untitled

i

論理回路設計

AccessflÌfl—−ÇŠš1

【知事入れ版】270804_鳥取県人口ビジョン素案

2

quattro.PDF

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?


活用ガイド (ソフトウェア編)

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

( ) : 1997

スライド 1




問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

C_PLD報告書要約_H doc


Cyclone IIIデバイスのI/O機能

<4D F736F F D2091B28BC68CA48B8695F18D902E646F63>

Transcription:

. ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q

3. VHDL 3.. HDL (Hardware Description Language, HDL) HDL SIC(pplication Specified Integrated Circuit ) FPG CPLD 2 HDL : HDL HDL 2 3 4 ( ) HDL ( ) X Y S C library IEEE; use IEEE.std_logic_64.all; entity HLFDD is port ( X, Y : in std_logic; S, C : out std_logic ); end HLFDD; architecture DTFLOW of HLFDD is signal T, T : std_logic begin T <= X or Y; T <= X nand Y; S <= T and T; C <= not T; end DTFLOW ( ) X Y S C 2: HDL () 2

3.2. HDL HDL VHDL Verilog-HDL VHDL 3.3. VHDL VHDL ( ) () (RTL Register Transfer Level ) 4. Windows LTER Quartus II (Quartus II ) ltera DE DE VHDL and or VHDL Verilog-HDL VHDL ( ) 2 4 FPG 3 ( ) 5 UP 3: 3

5. 5.. 3 DE ( ) MX+PLUS II UP- 2 4 4 4 (4 ) UP- 2 3 7 LED 8P 2 - UP- 3 3 2 2 CI F3 C3 F2 C2 F C F CO S 2 3 2 2 2 2 3 S 2 S S 4: 4 Quartus II DE 5.2. (i) ( 2) 2(a) / 2(b) L/H 2 S = X Y CI + X Y CI + X Y CI + X Y CI = (X Y + X Y ) CI +(X Y + X Y ) CI = (X Y ) CI +(X Y ) CI = (X Y ) CI CO = X Y CI + X Y CI + X Y CI + X Y CI = X Y (CI + CI)+(X Y + X Y ) CI = X Y +(X Y ) CI ( + ) 4

2: (a) / ( ) X Y CI S CO (b) L/H X Y CI S CO L L L L L H L L H L L H L H L H H L L H L L H H L H L H L H L H H L H H H H H H 2 VHDL ( ) -- ( ) library IEEE; use IEEE.std logic 64.all; entity FULLDDER is port(,, CI : in std_logic; S, CO : out std_logic ); end FULLDDER; architecture DTFLOW of FULLDDER is begin S <= ( xor ) xor CI; CO <= ( and ) or ( ( xor ) and CI); end DTFLOW; ( -- ) 5

(ii) Quartus II ( ) Quartus II Quartus II ( ) windows 2 Quartus II ( Quartus II P4) Quartus II ( ) Quartus II Quartus II 9. 3 ( Quartus II P5 P) Quartus II ( P6) fulladder ( P7)Next ( P8) Family: Cyclone III vailable devices: EP3C6F484C6 Next ( P9)Next 4 (HDL )( Quartus II P P2) VHDL ( ) ( ) fulladder.vhd 5 ( Quartus II P3 P5) ( ) (and or ) 6 ( Quartus II P6 P8) ( ) DE FPG FPG Quartus II 3 7 ( Quartus II P9 P27 P32 P33) Quartus II ( ) Grid Size 2ns End Time 2ns 6

3: X J6 SW Y H5 SW CI H6 SW2 S J LED CO J2 LED 8 DE ( Quartus II P28-P3) Quartus II DE () LED ( ) Quartus II 28 7

5.3. 4 4 4 2 -- ( ) 4 library IEEE; use IEEE.std_logic_64.all; entity FULLDDER4 is port(,, 2, 3 : in std_logic;,, 2, 3 : in std_logic; CI : in std_logic; S, S, S2, S3 : out std_logic; CO : out std_logic ); end FULLDDER4; architecture STRUCTURE of FULLDDER4 is component FULLDDER port(,, CI : in std_logic; S, CO : out std_logic ); end component; signal C, C2, C3 : std_logic; begin F : FULLDDER port map (,, CI, S, C ); -- F : 3 F2 : F3 : end STRUCTURE; 8

(i) 4 Quartus II DE FULLDDER4 2 Quartus II P6 Next 3 Quartus II P6 fulladder,vhd ( dd ll ) 4 2 F, F2, F3 F F F3 5 F F3 5 2 9 + 5 (CO = ) 4 9

5.4. 7 LED 2-2 - DE 7 LED( ) 4 4 2 9 DE LED / LED 4 LED 7 LED 4... (i) 4 OFF ON 7 LED OFF ON 4: ON/OFF 7 LED I3 I2 I I a b c d e f g OFF OFF OFF OFF ON ON ON ON ON ON OFF OFF OFF OFF ON OFF ON ON OFF OFF OFF OFF OFF OFF ON OFF ON ON OFF ON ON OFF ON OFF OFF ON ON ON ON ON ON OFF OFF ON OFF ON OFF OFF OFF ON ON OFF OFF ON ON OFF ON OFF ON ON OFF ON ON OFF ON ON OFF ON ON OFF ON OFF ON ON ON ON ON OFF ON ON ON ON ON ON OFF OFF OFF OFF ON OFF OFF OFF ON ON ON ON ON ON ON ON OFF OFF ON ON ON ON ON OFF ON ON (ii) 4 DE 7 LED OFF = ON = a VHDL a = I I I2 I3+I I I2 I3 ( ) a<=(not I and I and I2 and I3) or (I and I and not I2 and I3) ( VHDL ) b g ( ) (iii) VHDL 2 - Quartus II 5

a I J6 SW I H5 SW f g b I2 H6 SW2 I3 G4 SW3 a E 7 LED HEX D e c b F 7 LED HEX D c H2 7 LED HEX D2 d H3 7 LED HEX D3 d Decimal Point e G2 7 LED HEX D4 f F2 7 LED HEX D5 g F3 7 LED HEX D6 5: 7 LED 2 - ( ) ( = entity = ) (7segment ) (iv) I I3 (v) UP- b c 2 -

6. ( ) ii. 2 2 ( ) ( ) Quartus II 4 4 7 LED 7 LED... ( ) ( ) [] [2] VHDL Primer Jayaram hasker [3] [4] 2