Xilinx UG190 Virtex-5 FPGA ユーザー ガイド

Size: px
Start display at page:

Download "Xilinx UG190 Virtex-5 FPGA ユーザー ガイド"

Transcription

1 Virtex-5 FPGA ユーザーガイド 本資料は英語版 (v4.5) を翻訳したものです 英語の更新バージョンがリリースされている場合には 最新の英語版を必ずご参照ください

2 Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish, download, display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. Xilinx expressly disclaims any liability arising out of your use of the Documentation. Xilinx reserves the right, at its sole discretion, to change the Documentation without notice at any time. Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise you of any corrections or updates. Xilinx expressly disclaims any liability in connection with technical support or assistance that may be provided to you in connection with the Information. THE DOCUMENTATION IS DISCLOSED TO YOU "AS-IS" WITH NO WAANTY OF ANY KIND. XILINX MAKES NO OTHE WAANTIES, WHETHE EXPESS, IMPLIED, O STATUTOY, EGADING THE DOCUMENTATION, INCLUDING ANY WAANTIES OF MECHANTABILITY, FITNESS FO A PATICULA PUPOSE, O NONINFINGEMENT OF THID-PATY IGHTS. IN NO EVENT WILL XILINX BE LIABLE FO ANY CONSEQUENTIAL, INDIECT, EXEMPLAY, SPECIAL, O INCIDENTAL DAMAGES, INCLUDING ANY LOSS OF DATA O LOST POFITS, AISING FOM YOU USE OF THE DOCUMENTATION. Copyright Xilinx, Inc. All rights reserved. Xilinx, the Xilinx logo, the Brand Window, Virtex, Spartan, Coolunner, ISE, and other designated brands included herein are trademarks of Xilinx, Inc. Certain other third-party trademarks are used under license, for further information, see All other trademarks are the property of their respective owners. この日本語訳 ( 参考のみ ) は を参照してください Virtex-5 FPGA ユーザーガイド japan.xilinx.com

3 変更履歴 本書の改訂履歴は以下のとおりです 日付バージョン変更内容 2006/04/ 初版リリース 2006/05/ タイプミス修正および微修正 第 1 章 : 図 1-21 の変更 第 2 章 : 図 2-2 および図 2-4 の変更 DCM_PS プリミティブについての情報を削除 クロッキングウィザードのセクションの旧情報を削除 第 3 章 : 図 3-1 図 3-2 表 3-2 表 3-4 図 3-9 式 3-8 および図 3-12 の変更 Virtex-4 FPGA の PMCD レガシモードでの PLL セクションの追加 第 4 章 : 122 ページの表 4-4 のメモを追加 133 ページの AMB36 ポートマッピングデザイン規則の修正 第 5 章 : 図 5-7 および図 5-11 の変更 図 5-32 の修正 第 6 章 : 同時スイッチ出力リミット セクションの更新 第 7 章 : 318 ページの ILOGIC リソース および図 7-1 の変更 表 7-3 の変更 第 8 章 : 表 8-1 の変更 2006/7/ 第 1 章 : 単一終端クロックピンを明瞭にするため 25 ページの グローバルクロックバッファ を変更 図 1-19 の P および N の I/O を変更 第 4 章 : 134 ページの レジスタモードのブロック AM SS および 143 ページの FIFO アーキテクチャ : 簡略図 の追加 146 ページの リセット の FIFO 動作の変更 第 6 章 : 微修正 表 6-36 表 6-37 および表 6-38 の 未使用 を N/A に変更 第 7 章 : IODELAY を明確に示すため微修正 第 8 章 : 355 ページの ISEDES_NODELAY ポート の微修正 2006/9/ LXT プラットフォームについての説明を追加 第 1 章 : 44 ページの図 1-21 の変更 40 ページの CC (Clock Capable) I/O の変更 第 2 章 : 64 ページの 出力クロック の変更 第 4 章 : 141 ページの FULL および EMPTY フラグの規則についての説明を修正 第 5 章 :180 ページの 記憶エレメント の変更 第 6 章 : 240 ページの 差動終端の属性 を最新の構文および設定情報に更新 SSO 計算へのリンクの変更 2006/10/ 序章にシステムモニタユーザーガイドのリファレンスを追加 表 1-5 表 2-1 および表 5-2 に XC5VLX85T を追加 第 3 章 : 図 3-1 の変更 第 4 章 : 126 ページの表 4-6 にカスケード情報を追加 124 ページの図 4-9 の ADD を変更 ビルトインエラー訂正 セクションのスクラブモードを削除 第 5 章 : 200 ページの図 5-22 の変更 2007/02/ 表 1-5 表 2-1 表 5-2 に 3 つの SXT デバイスおよび XC5VLX220T を追加 第 4 章 : 119 ページの 同期クロック の内容を明確に修正 第 6 章 : 224 ページの DCI カスケード接続 を追加 表 6-39 の SSTL18_II_T_DCI の V EF を 0.9 に変更 第 4 章 : 345 ページの図 7-22 の OQ を変更 第 8 章 : 356 ページの クロックイネーブル入力 - CE1 および CE2 を変更 japan.xilinx.com Virtex-5 FPGA ユーザーガイド

4 日付バージョン変更内容 09/11/ 第 1 章 : 24 ページの クロックゲーティングによる消費電力削減 の追加 28 ページの図 1-2 の変更 37 ページの図 1-16 の変更 第 2 章 : 53 ページの リセット入力 - ST の DCM リセットとロックプロセスの変更 56 ページの表 2-4 の DO[2] を変更 57 ページの乗算値範囲の変更 60 ページの FACTOY_JF 属性 の説明を変更 64 ページの 出力クロック を変更 73 ページの図 2-7 を変更 75 ページの図に BUFG を追加 71 ページの新しい M および D 値を読み込む際での ダイナミックリコンフィギュレーション (DP) の手順を追加 73 ページの図 2-7 の変更 75 ページの図 2-10 の箇条書き説明を変更 第 3 章 : 90 ページの図 3-1 の変更 93 ページの表 3-2 にメモを追加 95 ページの 位相シフト にメモを追加 式 3-3 から式 3-6 にラウンディングを追加 96 ページの表 3-3 の CLKFBIN CLKFBDCM CLKFBOUT ST LOCKED を変更し EL ピンとメモ 2 を追加 98 ページの表 3-4 に ESET_ON_LOSS_OF_LOCK 属性を追加 PLL クロック入力信号 から一般配線についての説明を削除 入力クロックまたはフィードバッククロックの欠如 セクションを変更 図 3-13 に波形を追加 図 3-17 および 111 ページの表 3-8 の Virtex-4 ポートマッピングを修正 第 4 章 : ビルトインエラー訂正 を変更 WE 信号について変更 120 ページの シンプルデュアルポートブロック AM のリードバックの制限についての説明を明確にする 126 ページの セット / リセット - SS[A B] を変更 140 ページの ブロック AM の再ターゲット を追加 147 ページの表 4-16 のレイテンシ値を変更してメモ 1 つ追加 159 ページの FIFO をカスケード接続してワード数を増加 を変更 第 5 章 : 180 ページの 記憶エレメント のスライス内の共通制御信号についての説明を明確にする 第 6 章 : 226 ページの DCI カスケード接続のガイドラインを変更 双方向終端を使用した HSLVDCI 制御のインピーダンスドライバ を削除 ( ソフトウェアでサポートされていないため ) 257 ページの表 6-17 にメモ 3 を追加 275 ページの SSTL ( スタブシリーズターミネーテッドロジック ) の概要を明確に説明 276 ページの DIFF_SSTL2_II_DCI DIFF_SSTL18_II_DCI を変更 283 ページの図 6-73 の DIFF_SSTL2_II の説明を修正 300 ページの 同じバンク内で異なる I/O 規格を使用する際の規則 の規則 2 および 3 を修正 304 ページの オーバーシュート / アンダーシュート から許容値の表を削除 第 7 章 : 321 ページの IDD プリミティブから DDLY ポートを削除 329 ページの表 7-10 に SIGNAL _PATTEN DELAY_SC および EFCLK_FEQUENCY 属性を追加 331 ページの図 7-9 を変更 表 7-12 : DCM からリファレンスクロックを生成 を削除して 339 ページの IDELAYCTL ポート の EFCLK セクションを変更 340 ページの IDELAYCTL の位置 の概要を明確に説明 347 ページの クロック転送 の ODD を変更 第 8 章 : 図 8-2 および 355 ページの表 8-1 の S と O を変更 364 ページの BITSLIP サブモジュール の全セクションを変更 368 ページの図 8-14 のタイプミスを修正 Virtex-5 FPGA ユーザーガイド japan.xilinx.com

5 日付バージョン変更内容 12/11/ 第 1 章 : 24 ページの クロックゲーティングによる消費電力削減 を修正 表 1-5 に XC5VLX20T XC5VLX155 XC5VLX155T デバイスを追加 第 2 章 : 表 2-1 に XC5VLX20T XC5VLX155 XC5VLX155T の説明を追加 第 3 章 : evised 93 ページの クロックネットワークスキュー調整 の修正 96 ページの表 3-3 のメモ 2 を削除し CLKFBOUT および DEN の説明を修正 98 ページの表 3-4 の CLKOUT[0:5]_PHASE および CLKFBOUT_MULT に使用可能な値を修正 図 3-13 および図 3-14 を波形も含めて修正 第 5 章 : 表 5-2 に XC5VLX20T XC5VLX155 XC5VLX155T デバイスを追加 第 6 章 : DCI カスケード接続 の CMT タイル間のカスケード接続の説明を明確に変更 V TT = 0.9V in 294 ページの図 6-84 の分割終端を V TT = 0.9V に変更第 7 章 : HIGH_PEFOMANCE_MODE 属性 および表 7-10 を含め 330 ページの SIGNAL_PATTEN 属性 に説明を追加 341 ページの LOC 制約を使用せずに IDELAYCTL をインスタンシエートする場合 の説明を変更第 8 章 : 章全体を書き換え 説明 表 図なども大幅に変更 02/05/ 第 1 章 : 40 ページの I/O クロックバッファ - BUFIO の説明を更新 第 3 章 : 96 ページの表 3-3 の LOCKED の説明を修正 101 ページの カウンタ制御 の説明を修正 第 5 章 : 図 5-17 の説明を更新 第 7 章 : 328 ページの クロック入力 - C の説明を更新 329 ページの表 7-10 の HIGH_PEFOMANCE_MODE のデフォルト値を TUE 変更第 8 章 : 372 ページの表 8-7 の TISTATE_WIDTH を変更 TISTATE_WIDTH 属性 の説明を更新 374 ページの OSEDES のクロック手法 にセクションを追加 03/31/ 表 1-1, 表 2-2, and 表 5-2. に FXT プラットフォームを追加 第 1 章 : 44 ページの図 1-21 のタイミングイベントの説明を修正 第 2 章 : 71 ページの ダイナミックリコンフィギュレーション から PHASE_SHIFT の調整を削除 第 3 章 : 98 ページの表 3-4 に CLKOUT[0:5]_DESKEW_ADJUST を追加 第 4 章 : 114 ページのブロック AM の使用例で EAD_WIDTH_B = 9 を WITE_WIDTH_B = 9 に修正第 8 章 : 357 ページの ストローブベースのメモリインターフェイス用の高速クロック - OCLK を変更 358 ページの ISEDES_NODELAY 属性 の BITSLIP_ENABLE 値を文字列からブール値に修正 04/25/ 表 1-5 表 2-1 表 5-2 に XC5VSX240T を追加 第 1 章 : 44 ページの図 1-21 を修正 第 2 章 : 図 2-9 の ODD 出力からパッドの記述を削除 図 2-10 の出力の BUFG を削除 第 3 章 : 98 ページの表 3-4 の CLKOUT[0:5]_DESKEW_ADJUST の説明を修正 式 3-5 および式 3-6 を修正 第 4 章 : 147 ページの表 4-16 のメモを更新 第 7 章 : 342 ページの LOC 制約を使用して IDELAYCTL をインスタンシエートする場合 の説明を変更 japan.xilinx.com Virtex-5 FPGA ユーザーガイド

6 日付バージョン変更内容 05/09/ 第 2 章 : 72 ページの BUFGCTL から DCM への接続 のクロック配線リソースを修正 75 ページの図 2-10 を削除第 4 章 : 125 ページの表 4-5 のメモ 1 を修正 173 ページの ブロック AM と FIFO の組み合わせ を追加第 6 章 : Virtex-5 デバイスの DCI の I/O 規格 の説明の 7 番を明確に修正 マスタ DCI はバンク 1 とバンク 2 でサポートされないことを記述 09/23/ 表 1-5 表 2-1 表 5-2 に TXT プラットフォームを追加 第 2 章 : 53 ページの リセット入力 - ST および 65 ページの システム同期モード ( デフォルト ) を変更 第 3 章 : 94 ページの ジッタフィルタ を更新 第 4 章 : 117 ページの 書き込みモード および 119 ページの 非同期クロック を更新 第 6 章 : すべての DCI_18 規格が表 6-39 と表 6-40 と矛盾しないように記述 デバイス全体の SSO を計算するツール へのリンクを変更 第 8 章 : 355 ページの表 8-1の CLKB を更新 357 ページの 高速クロック入力 - CLKB を更新 12/02/ 第 2 章 : 51 ページ 51 ページ 51 ページの IBUFG - グローバルクロック入力バッファ の説明のエッジをハーフに変更 第 4 章 : 148 ページの ALMOSTEMPTY フラグ にテキストと式を追加 150 ページの表 4-19 にメモ 1 を追加第 5 章 : 215 ページの図 5-32 の AM#XM を AM#M に変更 第 6 章 : 248 ページの PCI-X PCI-33 PCI-66 ( ペリフェラルコンポーネントインターフェイス ) の PCI の略語定義を修正 296 ページの SSTL18_II_T_DCI (1.8V) 分割テブナン終端 に SSTL18_II_T_DCI 規格の説明を追加 第 7 章 : 明確にするため 324 ページの図 7-7 のキャプションにモードを追加第 8 章 : 357 ページの ストローブベースのメモリインターフェイス用の高速クロック - OCLK の OCLK と CLK 間に共有のリソースについての説明を追加 01/09/ 第 4 章 : 148 ページの式 4-1 の段落を変更 第 6 章 : 236 ページの Virtex-5 FPGA の SelectIO プリミティブ の差動 I/O 規格のプリミティブ名のリストに IBUFDS_DIFF_OUT を追加 238 ページの IBUFDS_DIFF_OUT セクションを追加 第 7 章 : 333 ページの双方向 IODELAY の Verilog コードの一部の ST 設定を修正 Virtex-5 FPGA ユーザーガイド japan.xilinx.com

7 目次 このマニュアルについて その他の資料 その他のリソース 表記規則 書体 オンラインマニュアル 第 1 章 : クロックリソース グローバルクロックとリージョナルクロック グローバルクロック リージョナルクロックと I/O クロック グローバルクロックリソース グローバルクロック入力 グローバルクロック入力バッファのプリミティブ クロックゲーティングによる消費電力削減 グローバルクロックバッファ グローバルクロックバッファプリミティブ その他の使用モデル クロックツリーとネット - GCLK クロック領域 リージョナルクロックリソース CC (Clock Capable) I/O I/O クロックバッファ - BUFIO BUFIO プリミティブ BUFIO の使用モデル リージョナルクロックバッファ - BUF BUF プリミティブ BUF の属性とモード BUF の使用モデル リージョナルクロックネット VHDL および Verilog のテンプレート 第 2 章 : クロックマネージメントテクノロジ クロックマネージメントについて DCM の概要 DCM プリミティブ DCM_BASE プリミティブ DCM_ADV プリミティブ DCM ポート DCM クロック入力ポート ソースクロック入力 - CLKIN Virtex-5 FPGA ユーザーガイド japan.xilinx.com 7 UG190 (v4.5.) 2009 年 1 月 9 日

8 目次 フィードバッククロック入力 - CLKFB 位相シフトクロック - PSCLK ダイナミックリコンフィギュレーションクロック入力 - DCLK DCM 制御およびデータ入力ポート リセット入力 - ST 位相シフトのインクリメント / デクリメント入力 - PSINCDEC 位相シフトイネーブル入力 - PSEN ダイナミックリコンフィギュレーションデータ入力 - DI[15:0] ダイナミックリコンフィギュレーションアドレス入力 - DADD[6:0] ダイナミックリコンフィギュレーションライトイネーブル入力 - DWE ダイナミックリコンフィギュレーションイネーブル入力 - DEN DCM クロック出力ポート 逓倍出力クロック - CLK 位相を 90 シフトした 1 逓倍出力クロック - CLK 位相を 180 シフトした 1 逓倍出力クロック - CLK 位相を 270 シフトした 1 逓倍出力クロック - CLK 逓倍クロック出力 - CLK2X 位相を 180 シフトした 2 逓倍出力クロック - CLK2X 分周クロック出力 - CLKDV 周波数合成出力クロック - CLKFX 位相シフトした周波数合成クロック出力 - CLKFX DCM ステータスおよびデータ出力ポート ロック出力 - LOCKED 位相シフト DONE 出力 - PSDONE ステータス出力またはダイナミックリコンフィギュレーションデータ出力 - DO[15:0] 55 ダイナミックリコンフィギュレーション eady 出力 - DDY DCM の属性 CLKDV_DIVIDE 属性 CLKFX_MULTIPLY および CLKFX_DIVIDE 属性 CLKIN_PEIOD 属性 CLKIN_DIVIDE_BY_2 属性 CLKOUT_PHASE_SHIFT 属性 CLK_FEEDBACK 属性 DESKEW_ADJUST 属性 DFS_FEQUENCY_MODE 属性 DLL_FEQUENCY_MODE 属性 DUTY_CYCLE_COECTION 属性 DCM_PEFOMANCE_MODE 属性 FACTOY_JF 属性 PHASE_SHIFT 属性 STATUP_WAIT 属性 DCM 設計のガイドライン クロックのスキュー調整 スキュー調整の動作 入力クロックの要件 入力クロックの変動 japan.xilinx.com Virtex-5 FPGA ユーザーガイド UG190 (v4.5.) 2009 年 1 月 9 日

9 目次 出力クロック コンフィギュレーション中およびスタートアップ時の DCM スキュー調整 スキュー調整回路の特性 周波数合成 周波数合成の動作 周波数合成の特性 位相シフト ファイングレイン位相シフトの動作 PSEN PSINCDEC PSCLK PSDONE の関係 位相シフトオーバーフロー 位相シフトの特性 ダイナミックリコンフィギュレーション DCM と Virtex-5 デバイスのその他のクロックリソースとの接続 IBUFG から DCM への接続 DCM から BUFGCTL への接続 BUFGCTL から DCM への接続 PLL と DCM の接続 DCM と PMCD の接続 使用例 標準的な使用法 ボードレベルでのクロックの生成 内部スキュー調整を使用したボード上のスキュー調整 つの DCM 間でのクロックの切り替え DCM と PLL VHDL/Verilog テンプレートおよび Clocking Wizard DCM のタイミング図 リセット / ロック 固定位相シフト 可変位相シフト ステータスフラグ レガシデバイスのサポート 第 3 章 : 位相ロックループ (PLL) 概要 位相ロックループ (PLL) 一般的な使用法について PLL プリミティブ PLL_BASE プリミティブ PLL_ADV プリミティブ クロックネットワークスキュー調整 周波数合成のみ ジッタフィルタ 制限 VCO 動作範囲 最小および最大入力周波数 Virtex-5 FPGA ユーザーガイド japan.xilinx.com 9 UG190 (v4.5.) 2009 年 1 月 9 日

10 目次 デューティサイクルのプログラム 位相シフト PLL プログラミング 入力周波数の決定 M および D 値の指定 PLL ポート PLL 属性 PLL CLKIN1 および CLKIN2 の使用 PLL クロック入力信号 カウンタ制御 クロックシフト VCO および出力カウンタの波形についての詳細 リファレンスクロックの切り替え 入力クロックまたはフィードバッククロックの欠如 PLL の使用モデル クロックネットワークスキュー調整 内部フィードバックを使用した PLL ゼロ遅延バッファ DCM で PLL を駆動 PLL で DCM を駆動 PLL 同士の接続 アプリケーションガイドライン PLL アプリケーション例 Virtex-4 FPGA の PMCD レガシモードでの PLL 第 4 章 : ブロック AM ブロック AM の概要 ブロック AM の説明 同期デュアルポートおよびシングルポート AM データフロー 読み出し 書き込み 書き込みモード WITE_FIST ( トランスペアレント ) モード ( デフォルト ) EAD_FIST ( 書き込み前に読み込み ) モード NO_CHANGE モード 競合の回避 非同期クロック 同期クロック Virtex-5 デバイスブロック AM の追加機能 出力レジスタ ( オプション ) 読み出しポートと書き込みポートの幅を個別に選択 シンプルデュアルポートブロック AM カスケード接続可能なブロック AM バイトライトイネーブル機能 ブロック AM の ECC ( エラー訂正コード ) japan.xilinx.com Virtex-5 FPGA ユーザーガイド UG190 (v4.5.) 2009 年 1 月 9 日

11 目次 ブロック AM のライブラリプリミティブ ブロック AM ポート信号 クロック - CLK[A B] イネーブル - EN[A B] バイトライトイネーブル - WE[A B] レジスタイネーブル - EGCE[A B] セット / リセット - SS[A B] アドレスバス - ADD[A B]<13:#><14:#><15:#> データ入力バス - DI[A B]<#:0> および DIP[A B]<#:0> データ出力バス - DO[A B]<#:0> および DOP[A B]<#:0> カスケード入力 - CASCADEINLAT[A B] および CASCADEINEG[A B] カスケード出力 - CASCADEOUTLAT[A B] および CASCADEOUTEG[A B] 制御ピンの反転 GS 未使用の入力 ブロック AM のアドレスマップ ブロック AM の属性 データメモリセルの初期化 - INIT_xx パリティメモリセルの初期化 - INITP_xx 出力ラッチの初期化 - INIT (INIT_A または INIT_B) 出力ラッチ / レジスタの同期セット / リセット (SVAL_[A B]) オプションの出力レジスタ切り替え - DO[A B]_EG 拡張モードアドレス - AM_EXTENSION_[A B] 読み出し幅 - EAD_WIDTH_[A B] 書き込み幅 - WITE_WIDTH_[A B] 書き込みモード - WITE_MODE_[A B] ブロック AM のロケーション制約 VHDL または Verilog コードでのブロック AM の初期化 AMB18 および AMB36 プリミティブの設計上の追加注意事項 出力レジスタ ( オプション ) 独立した読み出しポートと書き込みポートの幅を選択 AMB18 および AMB36 のポートマップ設計ルール カスケード接続可能なブロック AM バイトライトイネーブル機能 追加のブロック AM プリミティブ ブロック AM のアプリケーション 大規模な AM 構造の作成 レジスタモードのブロック AM SS ブロック AM のタイミングモデル ブロック AM のタイミングパラメータ ブロック AM のタイミング特性 クロックイベント クロックイベント クロックイベント クロックイベント ブロック AM のタイミングモデル Virtex-5 FPGA ユーザーガイド japan.xilinx.com 11 UG190 (v4.5.) 2009 年 1 月 9 日

12 目次 ブロック AM の再ターゲット ビルトイン FIFO のサポート マルチレート FIFO 同期 FIFO 同期 FIFO インプリメンテーション FIFO アーキテクチャ : 簡略図 FIFO プリミティブ FIFO ポートの説明 FIFO の動作 リセット 動作モード 標準モード FWFT (First Word Fall Through) モード ステータスフラグ EMPTY フラグ ALMOSTEMPTY フラグ DE フラグ FULL フラグ WE フラグ ALMOSTFULL フラグ FIFO の属性 FIFO ALMOST FULL/EMPTY フラグのオフセット範囲 VHDL および Verilog の FIFO テンプレート FIFO のタイミングモデルとパラメータ FIFO のタイミング特性 ケース 1 : 空の FIFO への書き込み ケース 2 : フルまたはほぼフルの FIFO への書き込み ケース 3 : フルの FIFO からの読み出し ケース 4 : 空またはほぼ空の FIFO からの読み出し ケース 5 : すべてのフラグをリセット ケース 6 : マルチレート FIFO の同時読み出しおよび書き込み FIFO のアプリケーション FIFO をカスケード接続してワード数を増加 FIFO を並列接続してビット数を増加 ビルトインエラー訂正 ECC モードの概要 ブロック AM ECC アーキテクチャの上面図 ブロック AM および FIFO の ECC プリミティブ ブロック AM と FIFO の ECC ポート記述 ブロック AM および FIFO の ECC 属性 ECC モードの動作 標準モードの ECC エンコード専用モードの ECC デコード専用モードの ECC ECC タイミング特性 標準 ECC の書き込みタイミング ( 図 4-31) japan.xilinx.com Virtex-5 FPGA ユーザーガイド UG190 (v4.5.) 2009 年 1 月 9 日

13 目次 標準 ECC の読み出しタイミング ( 図 4-32) エンコード専用 ECC の書き込みタイミング ( 図 4-31) エンコード専用 ECC の読み出しタイミング デコード専用 ECC の書き込みタイミング デコード専用 ECC の読み出しタイミング ブロック AM ECC モードのタイミングパラメータ ビットワードに意図的なエラーを作成 ビットワード用に 8 ビットパリティを作成 ビットワードへのシングル / ダブルビットエラーの挿入 ブロック AM ECC の VHDL および Verilog テンプレート Legal Block AM and FIFO Combinations 第 5 章 : コンフィギャブルロジックブロック (CLB) CLB の概要 スライスの説明 CLB/ スライスのコンフィギュレーション ルックアップテーブル (LUT) 記憶エレメント 分散 AM およびメモリ (SLICEM のみ ) OM ( 読み出し専用メモリ ) シフトレジスタ (SLICEM のみ ) マルチプレクサ 大型マルチプレクサの設計 高速ルックアヘッドキャリーロジック CLB およびスライスのタイミングモデル 一般的なスライスのタイミングモデルとパラメータ タイミングパラメータ タイミング特性 スライス分散 AM のタイミングモデルおよびパラメータ (SLICEM のみ ) 分散 AM のタイミングパラメータ 分散 AM のタイミング特性 スライス SL のタイミングモデルおよびパラメータ (SLICEM のみ ) スライス SL のタイミングパラメータ スライス SL のタイミング特性 スライスキャリーチェーンのタイミングモデルおよびパラメータ スライスキャリーチェーンのタイミング特性 CLB プリミティブ 分散 AM プリミティブ ポート信号 シフトレジスタ (SL) プリミティブ ポート信号 その他のシフトレジスタアプリケーション 同期シフトレジスタ 固定長シフトレジスタ マルチプレクサのプリミティブ ポート信号 Virtex-5 FPGA ユーザーガイド japan.xilinx.com 13 UG190 (v4.5.) 2009 年 1 月 9 日

14 目次 キャリーチェーンプリミティブ ポート信号 第 6 章 : SelectIO リソース I/O タイルの概要 SelectIO リソースの概要 SelectIO リソースの一般的なガイドライン Virtex-5 FPGA の I/O バンクの規則 参照電圧 (V EF ) ピン 出力駆動ソース電圧 (V CCO ) ピン Virtex-5 FPGA デジタル制御インピーダンス (DCI) はじめに DCI カスケード接続 ザイリンクスの DCI 制御インピーダンスドライバ ( ソース終端 ) インピーダンスが 1/2 の制御インピーダンスドライバ ( ソース終端 ) VCCO の入力終端 ( 単一終端 ) /2 VCCO の入力終端 ( 分割終端 ) V CCO 終端ドライバ ( 単一終端 ) /2 V CCO 終端ドライバ ( 分割終端 ) Virtex-5 デバイスの DCI の I/O 規格 DCI 使用例 Virtex-5 FPGA の SelectIO プリミティブ IBUF および IBUFG OBUF OBUFT IOBUF IBUFDS および IBUFGDS IBUFDS_DIFF_OUT OBUFDS OBUFTDS IOBUFDS Virtex-5 FPGA の SelectIO の属性および制約 ロケーション制約 IOSTANDAD 属性 出力スルーレートの属性 出力駆動能力の属性 IBUF OBUFT および IOBUF の PULLUP/PULLDOWN/KEEPE 差動終端の属性 Virtex-5 FPGA の I/O リソースを宣言する VHDL/Verilog 構文例 サポートされる I/O 規格のガイドライン LVTTL ( 低電圧 TTL) LVCMOS ( 低電圧コンプリメンタリメタルオキサイドセミコンダクタ ) LVDCI ( 低電圧デジタル制御インピーダンス ) LVDCI_DV HSLVDCI ( 高速低電圧デジタル制御インピーダンス ) japan.xilinx.com Virtex-5 FPGA ユーザーガイド UG190 (v4.5.) 2009 年 1 月 9 日

15 目次 PCI-X PCI-33 PCI-66 ( ペリフェラルコンポーネントインターフェイス ) GTL ( ガンニングトランシーバロジック ) GTL_DCI の使用法 GTLP ( ガンニングトランシーバロジックプラス ) GTLP_DCI の使用法 HSTL ( 高速トランシーバロジック ) HSTL_ I HSTL_ III HSTL_ I_18 HSTL_ III_18 HSTL_I_ HSTL_ I_DCI HSTL_ III_DCI HSTL_ I_DCI_18 HSTL_ III_DCI_ HSTL_ II HSTL_ IV HSTL_ II_18 HSTL_ IV_ HSTL_ II_DCI HSTL_ IV_DCI HSTL_ II_DCI_18 HSTL_ IV_DCI_ HSTL_ II_T_DCI HSTL_ II_T_DCI_ DIFF_HSTL_ II DIFF_HSTL_II_ DIFF_HSTL_II_DCI DIFF_HSTL_II_DCI_ DIFF_HSTL_I DIFF_HSTL_I_ DIFF_HSTL_I_DCI DIFF_HSTL_I_DCI_ HSTL クラス I 差動 HSTL クラス I HSTL クラス II 差動 HSTL クラス II HSTL クラス III HSTL クラス IV HSTL_II_T_DCI (1.5V) 分割テブナン終端 HSTL クラス I (1.8V) 差動 HSTL クラス I (1.8V) HSTL クラス II (1.8V) 差動 HSTL クラス II (1.8V) HSTL クラス III (1.8V) HSTL クラス IV (1.8V) HSTL_II_T_DCI_18 (1.8V) 分割テブナン終端 HSTL クラス I (1.2V) SSTL ( スタブシリーズターミネーテッドロジック ) SSTL2_I SSTL18_I SSTL2_I_DCI SSTL18_I_DCI SSTL2_II SSTL18_II SSTL2_II_DCI SSTL18_II_DCI DIFF_SSTL2_I DIFF_SSTL18_I DIFF_SSTL2_I_DCI DIFF_SSTL18_I_DCI DIFF_SSTL2_II DIFF_SSTL18_II DIFF_SSTL2_II_DCI DIFF_SSTL18_II_DCI SSTL2_II_T_DCI SSTL18_II_T_DCI SSTL2 クラス I (2.5V) 差動 SSTL2 クラス I (2.5V) SSTL2 クラス II (2.5V) 差動 SSTL2 クラス II (2.5V) SSTL2_II_T_DCI (2.5V) 分割テブナン終端 SSTL18 クラス I (1.8V) Virtex-5 FPGA ユーザーガイド japan.xilinx.com 15 UG190 (v4.5.) 2009 年 1 月 9 日

16 目次 差動 SSTL クラス I (1.8V) SSTL18 クラス II (1.8V) 差動 SSTL クラス II (1.8V) SSTL18_II_T_DCI (1.8V) 分割テブナン終端 差動終端の属性 : DIFF_TEM LVDS と拡張モード LVDS ( 低電圧差動信号 ) トランスミッタの終端 レシーバの終端 HyperTransport プロトコル (HT) 低振幅差動信号 (SDS) BLVDS ( バス LVDS) 差動 LVPECL ( 低電圧ポジティブエミッタカップルロジック ) LVPECL トランシーバの終端 同じバンク内で異なる I/O 規格を使用する際の規則 V I/O デザインのガイドライン IO 規格のデザインルール 複数技術の使用 同時スイッチ出力リミット スパースシェブロンパッケージ 公称の PCB 仕様 PCB 構造 信号リターン電流の管理 負荷トレース 電源分配システムデザイン 公称 SSO リミット表 実際の SSO リミットと公称 SSO リミット SSO ノイズの電気的基本 PFDM (Parasitic Factors Derating Method ) SSO 使用率の計算 デバイス全体の SSO を計算するツール その他の SSO について LVDCI および HSLVDCI ドライバ バンク 第 7 章 : SelectIO ロジックリソース はじめに ILOGIC リソース 組み合わせ入力パス 入力 DD について (IDD) OPPOSITE_EDGE モード SAME_EDGE モード SAME_EDGE_PIPELINED モード 入力 DD プリミティブ (IDD) IDD の VHDL および Verilog のテンプレート ILOGIC のタイミングモデル ILOGIC のタイミング特性 japan.xilinx.com Virtex-5 FPGA ユーザーガイド UG190 (v4.5.) 2009 年 1 月 9 日

17 目次 DD モード ILOGIC のタイミング特性 入力 / 出力遅延エレメント (IODELAY) IODELAY プリミティブ IODELAY ポート IODELAY 属性 IODELAY タイミング インクリメント / デクリメント動作後の安定 IODELAY の VHDL および Verilog インスタンシエーションのテンプレート IODELAY のターンアラウンドタイムの使用モデル IDELAYCTL のまとめ IDELAYCTL プリミティブ IDELAYCTL ポート IDELAYCTL のタイミング IDELAYCTL の位置 IDELAYCTL の使用法および設計のガイドライン OLOGIC リソース 組み合わせ出力データおよびトライステート制御パス 出力 DD のまとめ (ODD) OPPOSITE_EDGE モード SAME_EDGE モード クロック転送 出力 DD プリミティブ (ODD) ODD の VHDL および Verilog テンプレート OLOGIC タイミングモデル タイミング特性 第 8 章 : アドバンス SelectIO ロジックリソース はじめに 入力 Serial-to-Parallel ロジックリソース (ISEDES) ISEDES プリミティブ (ISEDES_NODELAY) ISEDES_NODELAY ポート レジスタ付き出力 - Q1 ~ Q Bitslip 処理 - BITSLIP クロックイネーブル入力 - CE1 および CE 高速クロック入力 - CLK 高速クロック入力 - CLKB 分周クロック入力 - CLKDIV IOB からのシリアル入力データ - D ストローブベースのメモリインターフェイス用の高速クロック - OCLK リセット入力 - ST ISEDES_NODELAY 属性 BITSLIP_ENABLE 属性 DATA_ATE 属性 DATA_WIDTH 属性 INTEFACE_TYPE 属性 NUM_CE 属性 Virtex-5 FPGA ユーザーガイド japan.xilinx.com 17 UG190 (v4.5.) 2009 年 1 月 9 日

18 目次 SEDES_MODE 属性 ISEDES_NODELAY のクロック手法 ネットワーキングインターフェイスタイプ メモリインターフェイスタイプ ISEDES でのビット幅の拡張 Serial-to-Parallel コンバータビット幅拡張のガイドライン ISEDES レイテンシ ISEDES タイミングモデルおよびパラメータ タイミング特性 リセット入力のタイミング ISEDES の VHDL および Verilog インスタンシエーションテンプレート BITSLIP サブモジュール Bitslip の処理 Bitslip タイミングモデルおよびパラメータ 出力 Parallel-to-Serial ロジックリソース (OSEDES) データ Parallel-to-Serial コンバータ トライステート Parallel-to-Serial コンバータ OSEDES プリミティブ OSEDES ポート データパス出力 - OQ トライステート制御出力 - TQ 高速クロック入力 - CLK 分周クロック入力 - CLKDIV パラレルデータ入力 - D1 ~ D 出力データクロックイネーブル - OCE パラレルトライステート入力 - T1 ~ T トライステート信号クロックイネーブル - TCE リセット入力 - S OSEDES 属性 DATA_ATE_OQ 属性 DATA_ATE_TQ 属性 DATA_WIDTH 属性 SEDES_MODE 属性 TISTATE_WIDTH 属性 OSEDES のクロック手法 OSEDES でのビット幅の拡張 Parallel-to-Serial コンバータビット幅拡張のガイドライン OSEDES のレイテンシ OSEDES タイミングモデルおよびパラメータ :1 SD シリアライゼーションのタイミング特性 :1 DD シリアライゼーションのタイミング特性 :1 DD トライステートコントローラのシリアライゼーションのタイミング特性. 379 リセット出力タイミング OSEDES VHDL および Verilog インスタンシエーションテンプレート 索引 japan.xilinx.com Virtex-5 FPGA ユーザーガイド UG190 (v4.5.) 2009 年 1 月 9 日

19 このマニュアルについて 本ユーザーガイドは Virtex -5 アーキテクチャについて説明します Virtex-5 FPGA ファミリの最新資料は ザイリンクスのウェブサイト から入手してください その他の資料 その他の Virtex-5 に関する情報は から次を参照してください Virtex-5 ファミリ概要 Virtex-5 ファミリの機能と製品の概略を説明しています Virtex-5 FPGA データシート : DC 特性およびスイッチ特性 Virtex-5 ファミリの DC およびスイッチ特性が記載されています Virtex-5 FPGA ocketio GTP トランシーバユーザーガイド このガイドでは Virtex-5 LXT および SXT プラットフォームデバイスで利用可能な ocketio GTP トランシーバについて説明します Virtex-5 FPGA ocketio GTX トランシーバユーザーガイドこのガイドでは Virtex-5 TXT および FXT プラットフォームデバイスで利用可能な ocketio GTX トランシーバについて説明します PowerPC 440 デザインの Virtex 5 FPGA エンベデッドプロセッサブロック このリファレンスガイドでは Virtex-5 FXT プラットフォームで利用可能なエンベデッドプロセッサブロックについて説明します Virtex-5 FPGA トライモードイーサネットメディアアクセスコントローラ LXT TXT SXT FXT プラットフォームで利用可能なトライモード MAC (Media Access Controller) について説明しています PCI Express デザインの Virtex-5 FPGA Integrated Endpoint ブロックユーザーガイド PCI Express デザイン用の Virtex-5 LXT SXT TXT FXT プラットフォームの統合エンドポイントブロックについて説明しています XtremeDSP ユーザーガイド XtremeDSP スライスの説明と DSP48E スライスを使用するためのリファレンスデザインを含みます Virtex-5 FPGA コンフィギュレーションガイド Virtex-5 FPGA ユーザーガイド japan.xilinx.com 19

20 このマニュアルについて コンフィギュレーションインターフェイス ( シリアルおよび SelectMAP) ビットストリーム暗号化 バウンダリスキャン JTAG コンフィギュレーション リコンフィギュレーション方法 SelectMAP と JTAG インターフェイスからのリードバックに関する章が含まれます Virtex-5 FPGA システムモニタユーザーガイド すべての Virtex-5 デバイスで使用可能なシステムモニタの機能について説明しています Virtex-5 FPGA パッケージおよびピン配置の仕様 デバイス / パッケージの組み合わせと最大 I/O 数 ピン定義 ピン配置表 ピン配置図 機械図 温度仕様などを示す表が含まれます Virtex-5 FPGA PCB デザイナーズガイド このガイドでは PCB およびインターフェイスレベルでデザインを決定するための戦略に焦点を合わせて Virtex-5 デバイスでの PCB デザインに関する情報を提供します その他のリソース 追加の資料は 次の Web サイトから参照できます シリコンやソフトウェア IP に関するアンサーデータベースを検索したり テクニカルサポートのウェブケースを開く場合は 次の Web サイトにアクセスしてください 表記規則 このマニュアルでは 次の表記規則を使用しています 各規則について 例を挙げて説明します 書体 次の規則は すべてのマニュアルで使用されています 表記規則使用箇所例 Courier フォント Courier フォント ( 太字 ) イタリックフォント 二重 / 一重かぎかっこ 角かっこ [ ] システムが表示するメッセージ プロンプト プログラムファイルを表示します 構文内で入力するコマンドを示します ユーザーが値を入力する必要のある構文内の変数に使用します はマニュアル名を はセクション名を示します オプションの入力またはパラメータを示しますが bus[7:0] のようなバス仕様では必ず使用します また GUI 表記にも使用します speed grade: ngdbuild design_name ngdbuild design_name 詳細については 開発システムリファレンスガイド の PA を参照してください ngdbuild [option_name] design_name [File] [Open] をクリックします 20 japan.xilinx.com Virtex-5 FPGA ユーザーガイド

21 表記規則 表記規則使用箇所例 中かっこ { } 縦棒 縦の省略記号... 横の省略記号... 1 つ以上の項目を選択するためのリストを示します 選択するリストの項目を分離します 繰り返し項目が省略されていることを示します 繰り返し項目が省略されていることを示します lowpwr ={on off} lowpwr ={on off} IOB #1: Name = QOUT IOB #2: Name = CLKIN... allow block block_name loc1 loc2... locn; オンラインマニュアル このマニュアルでは 次の規則が使用されています 表記規則使用箇所例 青色の文字 赤色の文字 青色の下線付き文字 マニュアル内の相互参照を示します ほかのマニュアルへの相互参照を示します Web サイト (UL) へのハイパーリンクです 詳細については その他のリソース を参照してください 詳細については 第 1 章 タイトルフォーマット を参照してください 詳細については Virtex-II Platform FPGA ユーザーガイド の図 2-5 を参照してください 最新のスピードファイルは から入手できます Virtex-5 FPGA ユーザーガイド japan.xilinx.com 21

22 このマニュアルについて 22 japan.xilinx.com Virtex-5 FPGA ユーザーガイド

23 第 1 章 クロックリソース グローバルクロックとリージョナルクロック Virtex -5 デバイスは クロックの使用を目的として複数の領域に分割されています 領域数は 8 ~ 24 となっており デバイスのサイズによって異なります グローバルクロック Virtex-5 デバイスには 32 個のグローバルクロックラインが含まれます このグローバルクロックは すべてのシーケンシャルリソース (CLB ブロック AM CMT I/O) に使用でき ロジック信号も駆動できます これら 32 個のうち 10 個が任意の領域で使用可能です グローバルクロックを駆動できるのは グローバルクロックバッファのみです グローバルクロックは クロックイネーブル回路またはグリッチのないマルチプレクサとしても使用できます また グローバルクロックを使用することで 2 つのクロックリソース間の選択ができるだけでなく 問題のあったクロックソースからの切り替えも可能です 通常 グローバルクロックバッファは クロック分配遅延をなくすため あるいは別のクロックに対する遅延を調整するために CMT ( クロックマネージメントタイル ) で駆動されます グローバルクロック数は CMT より多くなっていますが 多くの場合 1 つの CMT で複数のグローバルクロックを駆動します リージョナルクロックと I/O クロック 各領域には 2 つのリージョナルクロックバッファと 4 つのリージョナルクロックツリーがあります Virtex-5 デバイスでは 中央カラムにあるいくつかの I/O バンクを除いて その I/O バンクは正確に 1 領域に広がっています 領域 1 つ分のサイズを持つ各バンクには CC (Clock Capable) クロック入力が 4 つあります それぞれの入力が差動またはシングルエンド方式で 同一バンクまたは領域にある 4 つの I/O クロックおよび 2 つのリージョナルクロックを駆動できます また リージョナルクロックは 隣接領域のリージョナルクロックツリーを駆動可能です CC (Clock Capable) I/O がシングルエンドクロックで駆動されている場合 そのクロックは 差動クロックピンペアの正 (P) 側に接続する必要があります 負 (N) 側は 汎用 I/O として使用するか未接続にできます リージョナルクロックバッファは 1 ~ 8 の整数で入力クロックレートを分周するようにプログラムできます この機能と IOB のプログラマブルなシリアライザ / デシリアライザを併用すると ( 第 8 章の アドバンス SelectIO ロジックリソース を参照 ) ロジックリソースを追加せずに ソース同期システムのクロックドメインの切り替えができます I/O クロックは 高速で I/O のシリアライザ / デシリアライザ回路としても動作します ( 第 8 章の アドバンス SelectIO ロジックリソース を参照 ) Virtex-5 FPGA ユーザーガイド japan.xilinx.com 23

24 第 1 章 : クロックリソース グローバルクロックリソース グローバルクロックは すべてのクロック入力と FPGA のさまざまなリソースを接続するための専用ネットワークです このネットワークは スキュー デューティサイクルの歪み および消費電力を抑え ジッタ耐性を向上して高周波数信号をサポートするよう設計されています グローバルクロックの信号パスを理解すると さまざまなグローバルクロックリソースについても理解できるようになります グローバルクロックリソースとネットワークには 次のパスおよびコンポーネントが含まれます グローバルクロック入力 グローバルクロックバッファ クロックツリーとネット - GCLK クロック領域 グローバルクロック入力 Virtex-5 FPGA には クロック入力として使用しないときは 通常のユーザー I/O として使用可能なグローバルクロック入力ピンが含まれます 各デバイスには 20 個のグローバルクロック入力があります クロック入力は 差動 I/O 規格も含め 任意の I/O 規格にコンフィギュレーション可能です クロック入力は それぞれシングルエンドまたは差動方式のいずれかにでき 必要であれば 20 個のクロック入力すべてを差動方式にできます 出力として使用する場合 グローバルクロック入力ピンは 任意の出力規格にコンフィギュレーション可能です 各グローバルクロック入力ピンは シングルエンド出力規格またはすべての差動出力規格をサポートします グローバルクロック入力バッファのプリミティブ 表 1-1 に 入力クロック I/O の入力バッファのプリミティブを示します 表 1-1 : クロックバッファのプリミティブ プリミティブ入力出力説明 IBUFG I O シングルエンド I/O の入力クロックバッファ IBUFGDS I IB O 差動 I/O の入力クロックバッファ IOSTANDAD 属性を該当する規格に設定すると これらの 2 つのプリミティブを Virtex-5 の I/O リソースに対して使用できるようになります 使用可能な I/O 規格の詳細は 第 6 章の SelectIO リソース の表 6-39 を参照してください クロックゲーティングによる消費電力削減 Virtex-5 のクロックアーキテクチャは デザインの一部の消費電力を低減させるため クロックゲーティングを用いるという確実な方法を採用しています ほとんどのデザインには 未使用の BUFGCE リソースが含まれます 1 つのクロックは BUFGCE 入力をを駆動でき BUFGCE 出力はロジックの別々の領域を駆動できます たとえば 常時動作していることが必要なロジックすべてがいくつかのクロック領域に制約されている場合 これらの領域を 1 つの BUFGCE 出力で駆動することが可能です また 別の BUFGCE のイネーブル信号をトグルすることは 電力削減可能なロジック領域におけるダイナミック電力消費をすべて停止するシンプルな方法となります 24 japan.xilinx.com Virtex-5 FPGA ユーザーガイド

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

PPTフォーム(white)

PPTフォーム(white) Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2 概要 ( ファミリ ) Virtex-6 LXT

More information

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド Spartan-6 FPGA クロックリソース [Guide ユーザー Subtitle] ガイド [optional] [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the

More information

Virtex-6 FPGA クロッキング リソース ユーザー ガイド (UG362)

Virtex-6 FPGA クロッキング リソース ユーザー ガイド (UG362) Virtex-6 FPGA クロックリソース ユーザーガイド Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with

More information

ds031-1.fm

ds031-1.fm 0 Virtex TM -II FPGA : DS031-1 (v2.0) 2003 8 1 0 0 Virtex -II 業界初の Platform FPGA ソリューション IP-Immersion アーキテクチャ - 集積度は 4 万から 800 万システムゲートまで - 内部クロックスピードが 420MHz 注 - 840Mb/s 以上の I/O 注 注 : 以降の改訂時に変更される場合があります

More information

7 シリーズ FPGA SelectIO リソース ユーザー ガイド (UG471)

7 シリーズ FPGA SelectIO リソース ユーザー ガイド (UG471) 7 シリーズ FPGA SelectIO リソース ユーザーガイド 本資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください The information disclosed to you hereunder (the

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881)

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881) アプリケーションノート : Virtex-6 FPGA XAPP881 (v1.0.1) 2010 年 7 月 25 日 Virtex-6 FPGA で LVDS を使用した 1.25Gb/s での 4X 非同期オーバーサンプリング著者 : Catalin Baetoniu Brandon Day 概要 Virtex -6 FPGA の SelectIO テクノロジによって 1.25Gb/s で 4X

More information

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート XAPP485 (v1.1) 2006 11 10 R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E 4 5 666Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR

More information

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換のシミュレーション

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換のシミュレーション ISim ハードウェア協調シミュレーションチュートリアル : 浮動小数点高速フーリエ変換のシミュレーション UG817 (v 13.1) 2011 年 3 月 18 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely

More information

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

UG431, XtremeDSP DSP48A for Spartan-3A DSP FPGAs

UG431, XtremeDSP DSP48A for Spartan-3A DSP FPGAs Spartan-3A DSP FPGA XtremeDSP DSPA (v12) Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate

More information

Xilinx XAPP622 : 644 MHz SDR LVDS トランスミッタ /レシーバ

Xilinx XAPP622 : 644 MHz SDR LVDS トランスミッタ /レシーバ アプリケーションノート : Virtex-II シリーズ 644-MHz SD LVDS トランスミッタ / レシーバ XAPP622 (v1.7) 2004 年 4 月 27 日 概要 このアプリケーションノートでは 1 ペアのクロックと 16 ペアのデータチャネルの合計 17 ペアの低電圧差動信号伝送 (LVDS) を使用した 最大 644 MHz で動作するシングルデータレート (SD) トランスミッタおよびレシーバについて説明します

More information

資料作成Wordフォーム(社外秘)

資料作成Wordフォーム(社外秘) Spartan-6 FPGA 設計ガイド Ver1.0 ( PSDB ) 1 本設計情報は 設計者の皆様がザイリンクス社 Spartan-6 デバイス選択 および設計を進める上で必要となる基本的な注意事項をまとめた資料です Spartan-6 に関する詳細な技術情報はデータシートおよびユーザーガイドを参照してください 本資料に記載されている各事項については データシートおよびユーザーガイドに記載されている事項を優先します

More information

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

AWS Client VPN - ユーザーガイド

AWS Client VPN - ユーザーガイド AWS Client VPN ユーザーガイド AWS Client VPN: ユーザーガイド Copyright 2019 Amazon Web Services, Inc. and/or its affiliates. All rights reserved. Amazon's trademarks and trade dress may not be used in connection with

More information

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E04 (v2.5) 2006 年 12 月 14 日 XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 エラッタ これらのエラッタは Spartan-3 XC3S400 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

Virtex-6 FPGA メモリ リソース ユーザー ガイド (UG363)

Virtex-6 FPGA メモリ リソース ユーザー ガイド (UG363) Virtex-6 FPGA メモリリソース ユーザーガイド Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with

More information

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note XAPP721 (v2.1) 2007 年 10 月 12 日 アプリケーションノート : Virtex-4 FPGA ISERDES と OSERDES を使用した高性能 DDR2 SDRAM インターフェイスのデータキャプチャ著者 : Maria George 本資料は英語版 (v2.1) を翻訳したものです 英語の更新バージョンがリリースされている場合には 最新の英語版を必ずご参照ください 概要

More information

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E09 (v2.5) 2006 年 12 月 14 日 XC3S5000 FPGA エラッタと Spartan-3 データシートの確認 エラッタ このエラッタは Spartan-3 XC3S5000 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

7 シリーズ FPGA クロッキング リソース ユーザー ガイド (UG472)

7 シリーズ FPGA クロッキング リソース ユーザー ガイド (UG472) 7 シリーズ FPGA クロッキングリソース ユーザーガイド 本資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください The information disclosed to you hereunder (the Materials

More information

2

2 NSCP-W61 08545-00U60 2 3 4 5 6 7 8 9 10 11 12 1 2 13 7 3 4 8 9 5 6 10 7 14 11 15 12 13 16 17 14 15 1 5 2 3 6 4 16 17 18 19 2 1 20 1 21 2 1 2 1 22 23 1 2 3 24 1 2 1 2 3 3 25 1 2 3 4 1 2 26 3 4 27 1 1 28

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

iPhone/iPad接続マニュアル

iPhone/iPad接続マニュアル / JA 2 3 USB 4 USB USB i-ux1 USB i-ux1 5 6 i-mx1 THRU i-mx1 THRU 7 USB THRU 1 2 3 4 1 2 3 4 5 8 1 1 9 2 1 2 10 1 2 2 6 7 11 1 2 3 4 5 6 7 8 12 1 2 3 4 5 6 13 14 15 WPA Supplicant Copyright 2003-2009, Jouni

More information

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879)

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879) アプリケーションノート : Spartan-6 ファミリ XAPP7 (v1.1) 11 年 1 月 6 日 PLL ダイナミックリコンフィギュレーション著者 : Karl Kurbjun Carl Ribbing 概要 このアプリケーションノートは ダイナミックリコンフィギュレーションポート (DRP) を介して Spartan - 6 FPGA の位相ロックループ (PLL) のクロック出力の周波数

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

untitled

untitled SUBJECT: Applied Biosystems Data Collection Software v2.0 v3.0 Windows 2000 OS : 30 45 Cancel Data Collection - Applied Biosystems Sequencing Analysis Software v5.2 - Applied Biosystems SeqScape Software

More information

ScanFront 220/220P 取扱説明書

ScanFront 220/220P 取扱説明書 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ScanFront 220/220P セットアップガイド

ScanFront 220/220P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

DS-30

DS-30 NPD4633-00 JA ...6... 6... 6... 6... 6... 7... 7... 7... 7... 8... 8...9...10...11...11...13 Document Capture Pro Windows...13 EPSON Scan Mac OS X...14 SharePoint Windows...16 Windows...16...17 Document

More information

TH-65LFE7J TH-50LFE7J TH-42LFE7J - + - + PC IN DVI-D IN IR IN/OUT CHARGE OUT SERIAL IN LAN AUDIO IN (DVI-D / PC) AUDIO OUT AV IN (HDMI 1 HDMI 2) 19 3 1 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10

More information

Xilinx UG383 Spartan-6 ブロック RAM ユーザー ガイド

Xilinx UG383 Spartan-6 ブロック RAM ユーザー ガイド Spartan-6 FPGA ブロック RAM リソース ユーザーガイド Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747)

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747) デザインの保持チュートリアル PlanAhead ソフトウェア Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with

More information

7 シリーズ FPGA メモリ リソース ユーザー ガイド (UG473)

7 シリーズ FPGA メモリ リソース ユーザー ガイド (UG473) 7 シリーズ FPGA メモリリソース ユーザーガイド 本資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください The information disclosed to you hereunder (the Materials

More information

GT-F740/GT-S640

GT-F740/GT-S640 NPD4743-00 JA ...5 EPSON Scan... 5 Document Capture Pro / Document Capture...11...14 EPSON Scan...14 PDF...18 OCR...18...19...19...21 /...21...22...23 GT-F740...24...24...25...26...26...26...27 PDF...28...30

More information

DS-70000/DS-60000/DS-50000

DS-70000/DS-60000/DS-50000 NPD4647-02 JA ...5...7...8 ADF...9... 9 ADF...10...11...13...15 Document Capture Pro Windows...15 EPSON Scan Mac OS X...16 SharePoint Windows...18 Windows...18...19 Windows...19 Mac OS X...19...20...23...23

More information

Spartan-6 ライブラリ ガイド (HDL 用)

Spartan-6 ライブラリ ガイド (HDL 用) UG615 (v12.2) 2010 年 7 月 23 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with Xilinx

More information

GT-X830

GT-X830 NPD5108-00 ...5... 5... 6... 8...11 EPSON Scan...11 PDF...16 OCR...16...17...17...20 /...20...20...22...23...23...24...25...25...26...27 PDF...30...31 / EPSON Scan...34 EPSON Scan...34 EPSON Scan...36

More information

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』 アプリケーションノート : Virtex-II Pro および Virtex-4 ファミリ R XAPP5 (v2.1) 2005 年 7 月 20 日 著者 : Simon Tam 概要 このアプリケーションノートでは Virtex -II Virtex-II Pro または Virtex-4 デバイスにおける Error Correction Control (ECC) モジュールのインプリメンテーションについて説明します

More information

WYE771W取扱説明書

WYE771W取扱説明書 WYE771W WYE771W 2 3 4 5 6 MEMO 7 8 9 10 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 11 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 12 POWER EMERG. RESET/ STOPALARM

More information

WQD770W WQD770W WQD770W WQD770W WQD770W 5 2 1 4 3 WQD8438 WQD770W 1 2 3 5 4 6 7 8 10 12 11 14 13 9 15 16 17 19 20 20 18 21 22 22 24 25 23 2 1 3 1 2 2 3 1 4 1 2 3 2 1 1 2 5 6 3 4 1 2 5 4 6 3 7 8 10 11

More information

PX-504A

PX-504A NPD4537-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...15...16...16...18...19...20!ex...20 /...21 P.I.F. PRINT Image Framer...21...22...26...26...27...27...27...31

More information

2011 Shinano Kenshi Co.,Ltd. ... 2... 12... 12... 18... 19... 21... 21... 23 1.2.1....23 1.2.2....24 1.2.3....26 1.2.4....27... 29... 30... 30... 31... 38... 39... 40 2 ...41...42...43...43 3.1.1... 44

More information

Chapter 1 1-1 2

Chapter 1 1-1 2 Chapter 1 1-1 2 create table ( date, weather ); create table ( date, ); 1 weather, 2 weather, 3 weather, : : 31 weather -- 1 -- 2 -- 3 -- 31 create table ( date, ); weather[] -- 3 Chapter 1 weather[] create

More information

PX-434A/PX-404A

PX-434A/PX-404A NPD4534-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...26...27...27...28...28...31 Web...31...31...35...35...35...37...37...37...39...39...40...43...48

More information

PX-403A

PX-403A NPD4403-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...27...27...28...28...28...32 Web...32...32...35...35...35...37...37...37...39...39...40...43...46

More information

EPSON ES-D200 パソコンでのスキャンガイド

EPSON ES-D200 パソコンでのスキャンガイド NPD4271-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...13 EPSON Scan...13 EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 Epson Event Manager...16 Epson Event Manager...16 Epson Event Manager...16

More information

ES-D400/ES-D200

ES-D400/ES-D200 NPD4564-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 EPSON Scan...15 EPSON Scan...16 Epson Event Manager...17 Epson Event Manager...17 Epson Event Manager...17

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

ザイリンクス DS099-E05 XC3S1000/L FPGA エラッタおよび Spartan-3 データシートの確認

ザイリンクス  DS099-E05 XC3S1000/L FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E05 (v2.5) 2006 年 12 月 14 日 XC3S1000/XC3S1000L FPGA エラッタおよび Spartan-3/3L データシートの確認 エラッタ これらのエラッタは Spartan-3 XC3S1000 および XC3S1000L FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません

More information

EPSON PX-503A ユーザーズガイド

EPSON PX-503A ユーザーズガイド NPD4296-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...28...29...29...30...33

More information

べリンガーB-CONTROL

べリンガーB-CONTROL B-CONTROL B-CONTROL B-CONTROL NATIVE INSTRUMENTS as well as the name of companies, institutions or publications pictured or mentioned and their respective logos are registered trademarks of their respective

More information

EP-704A

EP-704A NPD4533-01 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...29...30...30...31...34

More information

Xpand! Plug-In Guide

Xpand! Plug-In Guide Xpand! Version 1.0 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of Digidesign.

More information

X-Form Plug-in Guide

X-Form Plug-in Guide X-Form Plug-in Version 7.2 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of

More information

GT-X980

GT-X980 NPD5061-00 JA ...6...10...10...11...13...15...20...21...21...22 /...23 PDF...27 PDF...31 /...35...38...43...46 EPSON Scan...49...49...49...50 EPSON Scan...51...51...52...52...53 2 Windows...53 Mac OS X...53...53...53...54...56...56...58...59...60...60...61...62...63

More information

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL MiVoice 6725ip Microsoft Lync Phone 41-001367-06 REV02 クイックスタートガイド NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation

More information

基本操作ガイド

基本操作ガイド HT7-0199-000-V.5.0 1. 2. 3. 4. 5. 6. 7. 8. 9. Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 1 2 3 4 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 AB AB Step 1 Step

More information

ザイリンクス XCN 製造中止製品の通知 : CPLD、コンフィギュレーション PROM、Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号

ザイリンクス XCN 製造中止製品の通知 : CPLD、コンフィギュレーション PROM、Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号 XCN12011 (v1.0) 2012 年 12 月 3 日 製造中止製品の通知 : CPLD コンフィギュレーション PROM Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号 製造中止製品の通知 概要 この通知は一部の SCD (Specification Control Document) 製品が製造中止となることをお知らせするものです これらの SCD

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J QT5-0571-V03 1 ...5...10...11...11...11...12...12...15...21...21...22...25...27...28...33...37...40...47...48...54...60...64...64...68...69...70...70...71...72...73...74...75...76...77 2 ...79...79...80...81...82...83...95...98

More information

DDK-7 取扱説明書 v1.10

DDK-7 取扱説明書 v1.10 DDK-7 v. JA 2 ()B-9 /4 ()B-9 2/4 3 4 ()B-9 3/4 ()B-9 4/4 5 6 7 "Mobile Wnn" OMRON SOFTWARE Co., Ltd. 999 All Rights Reserved. 8 CONTENTS 2 3 4 5 6 7 8 9 0 2 3 4 3 4 5 6 2 3 0 4 5 6 7 8 9 0 2 D. 2 3 4 5

More information

EPSON EP-803A/EP-803AW ユーザーズガイド

EPSON EP-803A/EP-803AW ユーザーズガイド NPD4293-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...30...30...31...31...31...35

More information

ES-D400/ES-D350

ES-D400/ES-D350 NPD4650-00 ...4 EPSON Scan... 4 Document Capture Pro Windows... 7 EPSON Scan...10 EPSON Scan...10...14 PDF...15 / EPSON Scan...17 EPSON Scan...17 EPSON Scan...18 EPSON Scan...18 Document Capture Pro Windows...19

More information

EPSON EP-703A ユーザーズガイド

EPSON EP-703A ユーザーズガイド NPD4295-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...30...30...31...31...34

More information

基本操作ガイド

基本操作ガイド HT7-0022-000-V.4.0 Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 4 1 1 2 3 4 5 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 6 1 2 3 4 5 6 7 1 2 3 4

More information

NetVehicle GX5取扱説明書 基本編

NetVehicle GX5取扱説明書 基本編 -GX5 1 2 3 4 5 6 7 8 # @(#)COPYRIGHT 8.2 (Berkeley) 3/21/94 All of the documentation and software included in the 4.4BSD and 4.4BSD-Lite Releases is copyrighted by The Regents of the University of California.

More information

PX-673F

PX-673F NPD4385-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...29...29...30...30...33

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

V4_ConfigurationGuide.book

V4_ConfigurationGuide.book Virtex-4 コンフィギュレーションガイド R Xilinx ( 以下 ザイリンクス といいます ) では ザイリンクス FPGA におけるデザインの開発目的のみにこの文書を開示します この文書に明記されている場合を除き 電子 機械 複写 録音を含め いかなる形態または手段においても ザイリンクスの書面による事前の許可なく資料をコピー 複製 配布 再発行 ダウンロード 表示 掲載 転送することはできません

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J-1 QT5-0681-V02 1 m a b c d e f l kj i h g a b c d e f g h i j k l m n n o o s p q r p q r s w t u v x y z t u v w x y z a bc d e f g q p o n m l k j i h a b c d e f g h i j k l {}[] {}[] m n

More information

GTR Board

GTR Board TB-FMCH-12GSDI ご購入に際してのご注意 1 変更履歴版数 日付 内容 担当者 Rev.1.00 2015/06/25 初版 天野 Rev.1.01 2015/07/22 2 章 Pre-production 品に関する記載を削除 天野 2016/09/16 3 章評価環境を更新 4 章ボードの機能評価状況を更新 6 章リファレンスデザインのダウンロード先を追加 森田 2 目次 1. 概要と関連書類...

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

XAPP453 「3.3V 信号を使用した Spartan-3 FPGA のコンフィギュレーション」 v1.0 (02/05)

XAPP453 「3.3V 信号を使用した Spartan-3 FPGA のコンフィギュレーション」 v1.0 (02/05) アプリケーションノート : ファミリ XAPP453 (v1.0) 2005 年 2 月 2 日 3.3 信号を使用した のコンフィギュレーション 概要 このアプリケーションノートでは Spartan -3 および Spartan -3L の 3.3 コンフィギュレーションについて説明しています ここでは コンフィギュレーションモード別に完全な接続図を示しており インプリメンテーションに簡単に利用できる便利なソリューションです

More information

System Generator for DSP ユーザー ガイド

System Generator for DSP ユーザー ガイド System Generator for DSP ユーザーガイド リリース 10.1.2 2008 年 6 月 本資料は英語版 (v10.1.2) を翻訳したものです 英語の更新バージョンがリリースされている場合には 最新の英語版を必ずご参照ください Xilinx is disclosing this user guide, manual, release note, and/or specification

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

UltraScale アーキテクチャ メモリ リソース ユーザー ガイド (UG573)

UltraScale アーキテクチャ メモリ リソース ユーザー ガイド (UG573) UltraScale アーキテクチャメモリリソース ユーザーガイド この資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください 改訂履歴 次の表に この文書の改訂履歴を示します 日付バージョン内容 209 年 2 月

More information

TH-80LF50J TH-70LF50J

TH-80LF50J TH-70LF50J TH-80LF50J TH-70LF50J TY-ST58P20 (70V) TY-ST65P20 (80V) TY-WK70PV50 TY-FB10HD TY-PG70LF50 (70V) TY-PG80LF50 (80V) - + - + SERIAL IN, SERIAL OUT AUDIO IN (COMPOSITE) AV IN DVI-D IN/OUT PC IN AUDIO

More information

ザイリンクス アプリケーション ノート XAPP709 : Virtex-4 FPGA デバイスを使用した DDR SDRAM コントローラ

ザイリンクス アプリケーション ノート XAPP709 : Virtex-4 FPGA デバイスを使用した DDR SDRAM コントローラ アプリケーションノート : Virtex-4 ファミリ XAPP709 (v1.4) 2005 年 11 月 18 日 Virtex-4 FPGA デバイスを使用した DD SDAM コントローラ著者 : Olivier Despaux 概要 このアプリケーションノートでは Virtex -4 XC4VLX25 FF668-10CES デバイスを使用してインプリメントした 200MHz DD SDAM

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

ザイリンクス 7 シリーズ FPGA ライブラリ ガイド (HDL 用)

ザイリンクス 7 シリーズ FPGA ライブラリ ガイド (HDL 用) ザイリンクス 7 シリーズ FPGA ライブラリガイド (HDL 用 ) UG768 (v13.1) 2011 年 3 月 1 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development

More information

XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s)

XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s) アプリケーションノート : Spartan-6 FPGA XAPP1064 (v1.1) 2010 年 6 月 3 日 ソース同期のシリアライズおよびデシリアライズ ( 最大 1050Mb/s) 著者 : Nick Sawyer 概要 Spartan -6 は 入力 SerDes (ISERDES) および出力 SerDes () ブロックを備えています これらのプリミティブによって より高速な動作が実現されると同時に

More information

Report Template

Report Template Lattice ECP3 DDR3 メモリ I/F 1 目次 1 このドキュメントの概要... 4 2 DDR_MEM モジュールを使用する場合の注意点... 5 2.1 PLL の配置およびクロック入力ピンに関する注意事項... 5 2.2 クロック位相調整回路のタイミング制約と配置指定... 6 2.2.1 CSM の配置指定... 6 3 DDR3 SDRAM CONTROLLER IP を使用する場合の注意事項...

More information

Title Slide with Name

Title Slide with Name 自習 & ハンズオントレーニング資料 System Recovery 2013 R2 SR13R2-06 System Recovery Monitor ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス統括本部セールスエンジニアリング本部パートナー SE 部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS

More information

7 シリーズ FPGA ライブラリ ガイド (HDL 用)

7 シリーズ FPGA ライブラリ ガイド (HDL 用) UG768 (V 13.3) 2011 年 10 月 26 日 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with

More information

IM 21B04C50-01

IM 21B04C50-01 User s Manual Blank Page Media No. (CD) 5th Edition : Sep. 2009 (YK) All Rights Reserved. Copyright 2001, Yokogawa Electric Corporation Yokogawa Electric Corporation Software License Agreement This

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

Welcome-Kit ~STM32L4-Nucleo~

Welcome-Kit ~STM32L4-Nucleo~ STM32CubeMX の使い方 0 STM32CubeMX ダウンロード 1 1 ST マイクロ社 HP より STM32CubeMX インストーラーをダウンロードし インストーラーの表示に沿ってインストールします URL : http://www.st.com/content/st_com/ja/products/development-tools/software-development-tools/stm32-

More information

MIDI_IO.book

MIDI_IO.book MIDI I/O t Copyright This guide is copyrighted 2002 by Digidesign, a division of Avid Technology, Inc. (hereafter Digidesign ), with all rights reserved. Under copyright laws, this guide may not be duplicated

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Veritas System Recovery 18 System Recovery Disk

Veritas System Recovery 18 System Recovery Disk Veritas System Recovery 18 System Recovery Disk 免責事項 ベリタステクノロジーズ合同会社は この 書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく われることもあります なお 当ドキュメントの内容は参考資料として 読者の責任において管理 / 配布されるようお願いいたします

More information