<4D F736F F D2091B28BC68CA48B8695F18D902E646F63>

Size: px
Start display at page:

Download "<4D F736F F D2091B28BC68CA48B8695F18D902E646F63>"

Transcription

1 卒業研究報告 題目 LED ディスプレイ用動画表示制御回路の設計と製作 指導教員 矢野政顕教授 報告者学籍番号 : 氏名 : 田中振宇 平成 18 年 2 月 21 日 高知工科大学電子 光システム工学科

2 目次 第 1 章はじめに 1 第 2 章 LED ディスプレイ LED(Light Emitting Diode) LED の発光原理 フルカラー LED について LED ディスプレイの構成 連珠状 LED ディスプレイとは ランプユニットの構成 5 第 3 章動画表示制御システムの実現方法 動画表示するための制御方法 輝度データの転送と LED の点灯 輝度データの転送方法 LED の点灯制御方法 動画表示制御方式 外部メモリについて 11 第 4 章 VHDL による動画表示制御システムの設計 VHDL について 全動画表示制御回路の構成 輝度データの転送制御 入出力信号 設計順序と出力波形 切換信号の発生制御 入出力信号 設計順序と出力波形 クロックの分周選択制御 入出力信号 設計順序と出力波形 LED 点灯時間の信号制御 入出力信号 設計順序と出力波形 21 I

3 4-7 LED の点灯出力制御 入出力信号 設計順序と出力波形 RGB の点灯時間選択制御 入出力信号 設計順序と出力波形 輝度データの選択出力制御 入出力信号 設計順序と出力波形 全制御回路のシミュレーション結果 28 第 5 章動画表示制御回路の製作と評価 動画表示テスト制御回路の製作 外部メモリデータの作成 RGB の点灯時間データの検証 静止画表示制御の評価 動画表示制御の評価 設計上の問題点 40 第 6 章終わりに 41 謝辞 42 参考文献 43 付録 44 VHDL の制御プログラム 44 II

4 第 1 章 はじめに 研究の背景 LED(Light Emitting Diode) は 光を発生するダイオード である ダイオードでは 両電極端子に電圧を印加すると一方向にだけ電流が流れる これを整流作用という LED とは 整流方向に電圧を印加 ( 順電圧という ) した時 電子と正孔が再結合して その一部のエネルギーが光に変換されるダイオードである LED は 戦後に開発され 年々その明るさが向上するとともに 黄緑色 ~( 黄色 橙色 )~ 赤色までの LED が開発されている LED は 強い発光ができるので 非常に優れた次世代の照明器具用部品として注目されている その理由は 従来の照明器具と比べると 消費電力が極端に少なく ( 電球の 1/5 以下 ) 寿命 (10 万時間 ) が圧倒的に長い ( 電球の 10 倍以上 ) ためである さらに 近年では青色の LED が開発されたことにより 光の 3 原色である赤 緑 青が揃ったことになり LED でフルカラーを再現できるようになった これにより LED 信号機やフルカラー LED の表示装置も製作できるようになってきた また LED は応答スピードが速いので 動画対応にも有利であり シャープな画像を得ることができる 現在の LED ディスプレイでは ほとんどの場合 マトリックス状に LED が配置されている これを制御するためには 多数の信号が必要となり 制御手順も複雑である また LED ディスプレイの形や大きさが固定されているため 柔軟性にも欠ける これらの問題を解決するために 連珠状 LED ディスプレイが考えられている これは LED を一列に並べて 一本の連珠状にし 少数の制御信号線で制御するものである 研究の目的 平成 16 年に設計した連珠状 LED ディスプレイは 最大 1 フレーム分の輝度データしか格納できなかったため 限られた動画しか表示できなかった 本研究の目的は 動画を表示するための制御回路を再設計して 平成 16 年度に設計した連珠状 LED ディスプレイの問題点を改善し 通常の動画を表示できるようにすることである また この再設計を通じて VHDL による設計法を習得することも研究の目的とする 1

5 第 2 章 LED ディスプレイ 2 1 LED(Light Emitting Diode) LED の発光原理 発光ダイオード (LED) は pn 接合を持つ半導体の順方向に電圧を印加し 電子や正孔のキャリアを注入して発光現象を得ている 一般的に これを注入形発光と呼んでいる 発光の機構について 図 2.1 に示す [1] 図 2-1 LED の発光原理 図 2-1(a) は電圧印加前の pn 接合の状態を示す また この物質のエネルギーギャップを Eg とし p, n の両側とも同一 Eg と仮定する Pn 接合の順方向に電圧を加えると 図 2-1(b) に示すように 接合部の電位の障壁が低くなる 従って n 側の電子 (electron) は + 側へ向かい 同時に p 側の正孔 (hole) は - 側に向かう このとき 接合近傍の活性領域で電子と正孔が再接合し 電子の有していたエネルギーが光として放出される [1] 2

6 2-1-2 フルカラー LED について 最近の LED は R( 赤 ) G( 緑 ) B( 青 ) 3 色を発光ができるようになってきた それらの 3 色の混合比率により全ての色を表現できる このような R G B の各々の発光チップが一つのパッケージになっているものをフルカラー LED ランプという 図 2-2 は フルカラー LED ランプの構造と各発光色の色度を示したものである 図 2-2 フルカラー LED ランプの構造と各発行色 フルカラー LED によるディスプレイの試みとしては これまで SiC あるいは GaN 青色 LED と 他の材料による赤 緑色 LED とを組み合わせたハイブリッド型のフルカラー LED ランプの報告がある 最近では 高発光効率の SiC の青色 LED と GaP の赤色及び緑色 LED をランプ化し 純白色発光を含む多色発光が可能なフルカラー LED が製作されている 組立にあたっては キャンケースを使用することや樹脂封入に工夫することで 発熱などの対策を施して製品化されている このフルカラー LED をマトリックスに配置したディスプレイは 従来のディスプレイとは異なる自然な色調の表示となり 品位の高い情報の表示が可能である [2] 3

7 2-2 LED ディスプレイの構成 連珠状 LED ディスプレイとは 連珠状 LED ディスプレイは フルカラー LED を一列に並べ 少数の制御信号でランプユニットを制御するものである 本研究での連珠状 LED ディスプレイは 赤 (R) 緑 (G) 青 (B) の 3 色を表現できるフルカラー LED を 256 個使用し 制御信号は FPGA で生成する 輝度データを各色 8 ビット 合計 24 ビットとするため =16,777,216 色を表示できる 連珠状 LED ディスプレイの構成を図 2-3 に示す 256 個のフルカラー LED F P G A LED 出力制御回路 ランプユニット ランプユニット ランプユニット 16 枚のプリント基板 図 2-3 連珠状 LED ディスプレイの構成 各フルカラー LED ランプの駆動を簡単にするために ランプユニットが設置されている 1 つのランプユニットは 4 つのフルカラー LED を駆動する フルカラー LED モジュールは一列に 16 個のフルカラー LED を搭載した 16 枚のプリント基板で構成されているので 使用するフルカラー LED の数は 個の 256 個である 動作について説明する 各フルカラー LED ランプを制御するには LED 出力制御回路から各輝度データを 1 ビットずつ ランプユニットのレジスタに転送し 全ての輝度データの転送が終了すると各 LED を点灯させるための制御信号を送る これにより LED を輝度データにしたがって点灯させることができる 4

8 2-2-1 ランプユニットの構成 連珠状 LED ディスプレイで使用する LED ランプユニットの基本的な動作回路を図 2-4 に示す ランプユニットは 点灯する LED を選択するためのシフトレジスタ 輝度データを保持して点灯を制御するシフトレジスタ 及び選択された LED を輝度データにしたがって点灯させるためのゲートで構成されている 図 2-4 ランプユニットの基本動作回路図 ランプユニットの動作原理について説明する ランプユニットへの入力は LED 出力制御回路から送られてきた輝度データ (PD_IN) をデータ転送クロック (PC_IN) によって 輝度データ保持用レジスタにシフト入力する 次に 切換信号 (QC_IN) に 1 を入力する 続いて LED 点灯時間制御クロック (SC_IN) を入力すると 選択信号が順番にシフトされ 点灯させる LED を選択する その時 各 NAND ゲートは輝度データと LED 選択信号が両方とも 1 であれば LED を点灯する これによりランプユニットを制御できる 5

9 第 3 章動画表示制御システムの実現方法 3-1 動画表示するための制御方法 輝度データの転送と LED の点灯 連珠状 LED ディスプレイで LED を点灯させるためには 各色の輝度データを転送する必要がある 図 2-4 に示したように 各色についての輝度データ 8 ビットを 1 ビット分ずつランプユニットに転送しなければならない 輝度データの 8 ビットを全部表示するためには 図 3-1 に示すように 輝度データの 1 ビット目を転送 LED を点灯 2 ビット目を転送 LED を点灯 あとは同じ順序でこの動作を 8 ビット目まで繰り返せばよい この一連の動作で 1 フレーム分が表示される この方法で ディスプレイに動画を表示させるためには 最低でも 1 秒間に 30 フレームの転送が必要である さらに ちらつきを抑えるためには 1 秒間に約 60 フレームの転送するのが望ましいとされている 図 3-1 輝度データの転送と LED の点灯 輝度データの転送方法 輝度データの転送について説明する まずランプユニットの輝度データ保持用のレジスタに輝度データとデータ転送クロックを入力し データ転送クロックの立ち上がりで 輝度データをシフト入力する このとき メモリアドレスから各色の輝度データ 8 ビット中の N ビット目を選択し 青 (B) 赤 (R) 緑 (G) の順番 6

10 に並び替えて 1 ビットずつ転送していく したがって 最初の LED にセットしたデータは 順番にシフトされて 制御回路から一番最後の LED に転送される 輝度データの転送を図 3-2 に示す 図 3-2 輝度データの転送 LED の点灯制御方法 LED の点灯は 図 2-4 に示したように LED を 1 個ずつ点灯させるので 各 LED の点灯時間を制御すればよい 合成色は各色の点灯時間によって決まってくる RGB 比正しい色を表現するために 各色の点灯時間比によって LED の明るさのバランスをとる必要がある メモリアドレス内のデータをすべて 1 に設定して LED を点灯させた場合 ほぼ白色に見えることが確認できたので 各色の点灯時間のデータを R:G:B = 2:3:1 の比率に固定している サブフレーム制御方式本研究の連珠状 LED ディスプレイでは 1 フレームを 8 サブフレームに分割して点灯制御するサブフレーム制御方式を採用している 1 フレーム分のデータ構成を図 3-3 に示す サブフレームを 8 回送れば 1 フレームの画像を表示できる 7

11 ビット数 (8 ビット ) 1 サブフレーム G R B 輝度データ =768 G R B フレーム 図 フレーム分のデータ構成 また サブフレーム制御方式について 階調制御という方法を採用している 階調制御とは 1 サブフレームの点灯時間を 2 の n 乗倍に変えることで LED の点灯階調を制御している 例えば 輝度データが 4 ビットの 0101 の時 点灯時間は図 3-4 のようになる 図 3-4 階調制御 点灯制御各色の LED 点灯時間を制御するには ランプユニットの選択レジスタクロックによって 輝度データの 2 ビット目は 1 ビット目の 2 倍 3 ビット目では 4 倍 8 ビット目では 128 倍という順番で制御していく そうすることにより 8 ビットの輝度データをそのまま表現することができる また ランプユニットは 12 個の LED(4 個のフルカラー LED) で構成している 各フルカラー LED( 緑 赤 青 ) を制御回路から近い順番に制御するので これを 4 回繰り返して 12 パルスと 8

12 なる さらに これを終了するためには 1 パルスの制御信号を生成する必要がある 従って 計 13 パルスの出力となる LED 出力制御回路からの 1 サブフレーム分の LED 点灯制御信号転送波形を図 3-5 に示す 図 サブフレーム分の LED 点灯制御信号転送波形 3-2 動画表示制御方式 本研究では 普通の動画を表示するために 連珠状 LED ディスプレイの各ランプユニットに 1 秒間 60 フレームのデータを転送する必要があるから 回路全体の動作は高速な処理が要求される また 画像をなめらかに動かすためには, 一度送った輝度データの次の輝度データを準備している間に動作が途切れてしまわないように, 常に輝度データを 2 フレーム分用意しておくようにする必要がある このテクニックをダブルバッファと呼ぶ ダブルバッファによって 2 つの外部メモリから 2 つの輝度データを交互に読み出せば 動画の表示制御回路システムの設計が実現できる 動画制御方式を 図 3-6 に示す 9

13 輝度データ入力信号 1 輝度データ入力信号 2 1 フレーム出力終了信号 図 3-6 動画制御方式 動画を表示するための制御方式について説明する 先に 2 つの外部メモリに 2 つの異なる輝度データを入力する 図 3-6 に示すように まず LED 出力制御回路より メモリアドレス信号を出力し 2 つの外部メモリに入力する 次に メモリアドレスによって 選択された 2 つ輝度データを輝度データ選択制御回路で選択して出力する ここでは 1 フレームの表示を終了するときに 輝度データの選択を知らせるための出力制御信号が必要となるので 1 フレーム分のデータ出力が終了するたびに 1 つのパルス信号を出力する この出力信号によって 輝度データ選択制御回路の自動切換発生回路より 0 か 1 で 2 つの輝度データを選択する 選択された輝度データを交互に出力することで ダブルバッファの機能を果たす 最後に連珠状 LED ディスプレイに輝度データを送り 以後は同様の動作を繰り返せば 動画の表示が制御可能となる 10

14 3-3 外部メモリについて 本研究で 使用する外部メモリは CMOS EPROM の NMC27C64 である このメモリは容量が 65,536-Bit(8192 8) で 28 ピン構成である メモリのピン配置イメージを 図 3-7 に示す [3] 各ピンの信号名と意味を 表 3-8 に示す [3] NMC27C64 Vpp 1 28 Vcc A PGM A NC A A8 A A9 A A11 A OE A A10 A CE A O7 O O6 O O5 O O4 GND O3 図 3-7 メモリのピン配置イメージ 本研究で使用する接続ピンの配置について説明する メモリアドレスの入力ピ ンは A0~A9 輝度データの出力ピンは O0~O7 である Vcc と PGM を電源に A12 GND A11 OE A10 および CE をグランドに接続する それ以外のピンは どこにも接続しないようにする 11

15 表 3-8 各ピンの信号名と意味 A0~A12 CE OE O0~O7 PGM NC Vpp Vcc GND 出力されたメモリアドレスを入力するための信号 チップイネーブル 信号出力イネーブル 選択された輝度データを出力するための信号 プログラム信号 接続しない プログラミング電源 電源 アース 12

16 第 4 章 VHDL による動画表示制御システムの設計 4-1 VHDL について VHDL(VHSIC-Hardware Description Language) は HDL( ハードウェア記述言語 ) の一つである 従来 ディジタル回路の設計の基本は回路図であったが 現在ではこの ハードウェア記述言語 による回路設計が主流となっている この言語は いくつかの基本的な構文を理解するだけで さまざまな回路を記述できる とくに CPLD とか FPGA とか言われているプログラマブルな集積回路の設計において顕著である FPGA や CPLD は 内部のロジックをユーザが何度も自由に変更できるデバイスである また VHDL は記述能力の高い言語でさまざまなレベルでの記述ができる [4] 4-2 全動画表示制御回路の構成 図 4-1 に示すような動画表示制御回路を VHDL を用いて設計する 今回の研究では 4 つの表示パターンを用意している それぞれ制御モード (SEL) により 2 つの外部メモリのデータを切り替えていく 具体的な設定については MEMORY_ADDRESS より 2 つ外部メモリ内の輝度データを選択してそれぞれ PD_DATA_1 と PD_DATA_2 に入力する 00 の場合は外部メモリ 1 のデータを選択し 01 の場合は外部メモリ 2 のデータを選択し 10 の場合は 1 フレームのデータを選択し 11 の場合は 60 フレームのデータを選択して出力する 選択されたデータは LED 出力制御回路に入力されて処理される 後は各ランプユニットに 1 ビットの輝度データ (PD) データ転送クロック (PC) 切換発生信号 (QC) 点灯時間制御信号 (SC) を各 1 ビットずつ転送すると 連珠状 LED ディスプレイ上に画像を表示できるようになる CLK はシステムクロック RESET は初期状態に戻すための信号 EN は全回路の動作を開始するための信号を表している 13

17 図 4-1 動画表示制御回路の入出力信号 動画表示制御回路は 輝度データ転送出力回路 (PC PD) 切換信号発生回路 (QC) LED 点灯時間出力回路 (SC) LED 出力制御回路 クロック分周選択出力回路 輝度データ選択出力制御回路 RGB 点灯時間選択回路の 7 つのブロックから構成されている 動画表示制御回路全体の構成ブロック図を図 4-2 に示す 14

18 MEMORY_ADDRESS 10bit 外部メモリ 1 外部メモリ 2 1 フレームの終了信号 2bit 8bit P D _D A T A 1 8bit P D _D A T A 2 輝度データ選択出力制御回路 8bit PD_DATA 3bit B IT _S E L E C T P C _P D _E N Q C _E N クロック分周選択出力回路 SC_CLK 8bit 輝度データ転送出力回路 切換信号発生回路 LED 点灯時間出力回路 PC_PD_END Q C _E N D PC PD QC SC 連珠状 L E D ディスプレイ RGB 点灯時間選択回路 RGB_DATA S C _E N D 2bit RGB_ADDRESS SC_EN LED 出力制御回路 EN CLK RESET SEL LED 出力制御回路 動画表示制御回路 図 4-2 全動画表示制御回路の構成ブロック図 4-3 輝度データの転送制御 入出力信号 輝度データ転送出力回路の入出力信号を図 4-3 に示す 各信号の意味は次の通りである CLK はシステムクロック RESET は初期状態に戻すための信号 PC_PD_EN は回路の動作を開始するための信号 PD_DATA は外部メモリにある 8Bit の輝度データを示すための信号 BIT_SELECT は輝度データの N Bit 目を選択するための信号 PC_PD_END は輝度データの全ビット (768Bit) の転送を終了したときの信号 MEMORY_ADDRESS はメモリにある輝度データを順 15

19 番に選択して出力するための信号 PC PD はランプユニットに転送するための信号を表している 図 4-3 輝度データ転送出力回路の入出力信号 設計順序と出力波形 輝度データ転送出力回路の設計順序について説明する この回路は 輝度データをランプユニットのレジスタに転送するための回路である まず MEMORY_ADDRESS と PC を出力するには 最初の 10 ビット目を MEMORY_ADDRESS として使い 残りの 1 ビット目をデータ転送用クロック (PC) として使う このため合計 11 ビットのカウンタ回路を作る このカウンタにより 1535 回カウントする ここでは 出力波形のタイミングを調整するために 偶数ビット目のクロックの立下りを検出して PC 信号を立ち上げるようにする 次に 768 ビットの転送データを終了するための終了信号 (PC_PD_END) を出力し カウンタ回路の動作を止める PC_PD_EN の信号が 1 である間 PC_PD_END は 1 を出力したままになる 最後に BIT_SELECT の 3 ビットによって 8 ビットの輝度データから 1 ビットが選択され レジスタに 1 ビットが保持される これが PD の信号として出力される 回路の構成ブロック図を図 4-4 に示す 回路の各出力信号のシミュレーション波形を図 4-5 に示す 16

20 図 4-4 輝度データ転送出力回路の構成ブロック図 図 4-5 輝度データ転送出力回路の出力シミュレーション波形 17

21 4-4 切換信号の発生制御 入出力信号 切換信号発生回路の入出力信号を図 4-6 に示す 各信号の意味は次の通りである CLK はシステムクロック RESET は初期状態に戻すための信号 QC_EN は回路の動作を開始するための信号 QC は輝度データの転送から LED の点灯に切換るための信号 QC_END は切換信号の出力が終了したときの信号を表している 設計順序と出力波形 図 4-6 切換信号発生回路の入出力信号 切換信号発生回路の設計順序について説明する この回路は 輝度データの転送が終了したときに切換を知らせるための回路である QC_EN の制御により 1 であれば動作する 切換信号の発生は 2 ビッシフトレジスタを用いて行う 基本的な動作は という状態で出力する 1 ビット目を QC の出力信号 2 ビット目を QC_END の出力信号とし QC に 0 が出力されたあとに QC_END に 1 が出力されるようにする QC_EN の信号が 1 である間 QC_END は 1 を出力したままになる こうすることによって 切換えの動作を行うことができる 回路の構成ブロック図を図 4-7 に示す 回路の各出力信号のシミュレーション波形を図 4-8 に示す 図 4-7 切換信号発生回路の構成ブロック図 18

22 図 4-8 切換信号発生回路の出力シミュレーション波形 4-5 クロックの分周選択制御 入出力信号 クロック分周選択出力回路の入出力信号を図 4-9 に示す 各信号の意味は次の通りである CLK はシステムクロック RESET は初期状態に戻すための信号 SC_EN は回路の動作を開始するための信号 BIT_SELECT は分周されたクロックを選択するための信号 SC_CLK_OUT は分周されたクロックを出力するための信号を表している 図 4-9 クロック分周選択出力回路の入出力信号 設計順序と出力波形 クロック分周選択出力回路の設計順序について説明する この回路は LED の点灯を制御するためにクロックを各周期に分周し 分周されたクロック信号を選択して出力する回路である クロック分周回路は 8 ビットのカウンタで構成されており LED 点灯の階調制御に用いる 次に BIT_SELECT によって 分周された 2 の N 乗倍で生成したクロックを選択して出力する 回路の構成ブロック図を図 4-10 に示す 回路の各出力信号のシミュレーション波形を図 4-11 に 19

23 示す 図 4-10 クロック分周選択出力回路の構成ブロック図 図 4-11 クロック分周選択出力回路の出力シミュレーション波形 20

24 4-6 LED 点灯時間の信号制御 入出力信号 LED 点灯時間出力回路の入出力信号を図 4-12 に示す 各信号の意味は次の通りである CLK はシステムクロック RESET は初期状態に戻すための信号 SC_EN は回路の動作を開始するための信号 SC_CLK_IN は分周されたクロックを入力するための信号 RGB_DATA は各色の点灯時間データを示す信号 SC は各点灯時間によって ディスプレイの LED を点灯させるための信号 SC_END は LED の点灯制御信号の出力が終了したときの信号 RGB_ADDRESS は RGB 点灯時間選択回路に格納している RGB の点灯時間データを示すための信号を表している RESET SC_CLK_IN SC_EN RGB_DATA 8Bit LED 点灯時間出力回路 2Bit SC_END SC RGB_ADDRESS 図 4-12 LED 点灯時間出力回路の入出力信号 設計順序と出力波形 LED 点灯時間出力回路の設計順序について説明する この回路は SC_CLK_IN の信号を入力することで動作する まず 8 ビットのカウンタ回路を作る このカウンタの内容と入力した RGB_DATA の信号が一致すれば 1 つのパルス信号を出力する 次に 出力された信号を SC 信号カウンタ回路によって 1100 までカウントし SC 信号として出力する このとき SC の出力信号を終了する必要があるから 1100 になると SC_EN の信号が 1 である間に SC_END に 1 を出力したままの状態で回路の動作を終了する RGB_ADDRESS は R G B の点灯時間データを選択する信号で 2 ビットのカウンタ回路を用いて生成する 回路の構成ブロック図を図 4-13 に示す 回路の各出力信号のシミュレーション波形を図 4-14 に示す 21

25 図 4-13 LED 点灯時間出力回路の構成ブロック図 図 4-14 LED 点灯時間出力回路の出力シミュレーション波形 4-7 LED の点灯出力制御 入出力信号 LED 出力制御回路の入出力信号を図 4-15 に示す 各信号の意味は次の通りである CLK はシステムクロック RESET は初期状態に戻すための信号 EN は全回路の動作を開始するための信号 PC_PD_END は輝度データ (768Bit) の転送終了を知らせる信号 QC_END は切換信号の出力終了を知らせる信号 SC_END は LED の点灯制御信号の出力終了を知らせる信号 PC_PD_EN は輝度データ転送出力回路の動作を開始するための信号 QC_EN は切換信号発生回路の動作を開始するための信号 SC_EN は LED 点灯時間出力回路の動作を開始するための信号 BIT_SELECT は輝度データの N ビット目と分周されたクロッ 22

26 クを選択するための信号 FRAME_END_OUT は 1 フレームの終了を知らせるための信号を表している 図 4-15 LED 出力制御回路の入出力信号 設計順序と出力波形 LED 出力制御回路の設計順序について説明する この回路は 各 PC PD QC SC の出力回路を制御し LED ディスプレイに輝度データを 1 ビットずつを転送するための回路である まず EN は 1 の状態で回路を動作する EN= 1 のとき PC_PD_EN= 1 と SC_EN= 0 になり PC_PD_END= 1 のとき PC_PD_EN= 0 と QC_EN= 1 になり QC_END= 1 のとき QC_EN= 0 と SC_EN= 1 になり SC_END= 1 のとき PC_PD_EN = 1 と SC_EN= 0 になる この順番で繰り返して制御すれば LED を点灯できる 次に BIT_SELECT の信号は 3 ビットのカウンタで生成しており SC_END = 1 のときにこのカウントは動作を開始する 最後に FRAME_END_OUT は BIT_SELECT= 111 と PC_PD_EN= 1 のときに FRAME_END_OUT に 1 を出力する 回路の構成ブロック図を図 4-16 に示す 回路の各出力信号のシミュレーション波形を図 4-17 に示す 図 4-16 LED 出力制御回路の構成ブロック図 23

27 図 4-17 LED 出力制御回路の出力シミュレーション波形 4-8 RGB の点灯時間選択制御 入出力信号 RGB 点灯時間選択回路の入出力信号を図 4-18 に示す 各信号の意味は次の通りである RESET は初期状態に戻すための信号 EN は全回路の動作を開始するための信号 RGB_ADDRESS は RGB 点灯時間選択回路に格納している RGB の点灯時間データを選択するための信号 RGB_DATA は各色の点灯時間データを示す信号を表している 図 4-18 RGB 点灯時間選択回路の入出力信号 24

28 4-8-2 設計順序と出力波形 RGB 点灯時間選択回路の設計順序について説明する この回路は 格納している RGB 点灯時間データを RGB_ADDRESS により選択して出力する回路である 2 ビットの RGB_ADDRESS 信号によって 3 つの固定された異なる RGB の点灯時間データを選択して出力する 00 は の点灯時間データを選択し出力 01 は の点灯時間データを選択し出力 10 は の点灯時間データを選択し出力する 回路の構成ブロック図を図 4-19 に示す 回路の各出力信号のシミュレーション波形を図 4-20 に示す RESET EN RGB_ADDRESS 2Bit SELECTER 8Bit RGB_DATA 図 4-19 RGB 点灯時間選択回路の構成ブロック図 図 4-20 RGB 点灯時間選択回路の出力シミュレーション波形 25

29 4-9 輝度データの選択出力制御 入出力信号 輝度データ選択出力制御回路の入出力信号を図 4-21 に示す 各信号の意味は次の通りである RESET は初期状態に戻すための信号 EN は全回路の動作を開始するための信号 FRAME_END_IN は 1 フレームの終了を知らせる信号 SEL は表示したい輝度データを切換えていくための信号 PD_DATA_IN1 は外部メモリ 1 にある 8Bit 輝度データを示すための信号 PD_DATA_IN2 は外部メモリ 2 にある 8Bit 輝度データを示すための信号 PD_DATA_OUT は外部メモリにある 8Bit の輝度データを示すための信号を表している 図 4-21 輝度データ選択出力制御回路の入出力信号 設計順序と出力波形 輝度データ選択出力制御回路の設計順序について説明する この回路は 制御モード (SEL) によって 表示したい外部メモリにある 8Bit の輝度データを選択する回路である まず 外部メモリ 1 と 2 のデータを 60 回ずつ転送するに 1 回ずつを数えるための 60 進カウンタを設計する 1 つ目の輝度データが 60 回をカウントしたら フレーム選択回路によって 1 フレームの輝度データと 60 フレームの輝度データを切換えていく このようにすることで 1 フレームの輝度データと 60 フレームの輝度データの両方を転送できる 次に JK フリップフロップを用いて 入力した信号の立ち上がりを検出することで 前の信号と自動的に切り換わる これより 2 つの輝度データが交互に切換わるようになる あとは モード制御回路より 輝度データを選択して出力する 回路の構成ブロック図を図 26

30 4-22 に示す 回路の各出力信号のシミュレーション波形を図 4-23 に示す 図 4-22 輝度データ選択出力制御回路の構成ブロック図 図 4-23 輝度データ選択出力制御回路の出力シミュレーション波形 27

31 4-10 全制御回路のシミュレーション結果 次に 制御回路全体のシミュレーション結果を示す (a) 1 サブフレームの出力波形 1 サブフレームの輝度データを転送したときの出力波形を図 4-24 に示す 図 サブフレームのシミュレーション結果 (b) 1 フレームの出力波形 1 フレームの輝度データを転送したときの出力波形を図 4-25 に示す 図 フレームのシミュレーション結果 (c) ダブルバッファのときの出力波形ダブルバッファを行ったときの出力波形を図 4-26 に示す 図 4-26 ダブルバッファのシミュレーション結果 28

32 第 5 章動画表示制御回路の製作と評価 5-1 動画表示テスト制御回路の製作 今回の研究では 動画制御プログラムを FPGA に書き込んで 回路の動作確認を行った 使用した FPGA は Altera FLEX10K10 シリーズ EPF10K10LC84-4 である FPGA とは Field Programble Gate Array の略で VHDL などのハードウェア記述言語で記述したプログラムにより 内部のロジックを自由に変更できるデバイスである 記述した回路のプログラムを変更することにより容易にロジックを変更できる 本研究で製作した動画表示テスト制御回路を図 5-1 に示す 動画表示するために使用した連珠状 LED ディスプレイを図 5-2 に示す 図 5-1 製作した動画表示テスト制御回路 29

33 図 5-2 連珠状 LED ディスプレイ 5-2 外部メモリデータの作成 今回の研究では さまざまな輝度データを入力して 動画表示の動作確認を行った 輝度データの記述については 青 赤 緑の順で 16 進の 00 と FF のどちらかを指定することで LED の点灯と消灯の部分を記述できる LED の数は 768 個であるから 1 サブフレーム分の輝度データは 768 ビットである 1 フレーム分の輝度データを表示するためには 同じような輝度データを 8 サブフレーム分を記述する必要がある 30

34 5-3 RGB の点灯時間データの検証 今回の研究では RGB の点灯時間データを固定して検証を行った これより 初期値の RGB 比 (2:3:1) のデータをそのまま使用すると 点灯時間が早すぎて 表示された画像はほとんど形が見えなく ちらつきも激しい 人間の目ではとても確認しにくい これを解決するために 点灯時間がちょうど人間の目で確認できるような速度になるように点灯時間のデータを大きくした この結果 各色の点灯時間は 緑は 20 赤は 13 青は 7 とし また 1 秒間に 60 フレームの輝度データを送れるように設定した 31

35 5-4 静止画表示制御の評価 静止画を表示した各場合について次に示す (1) 白色による静止画表示 白色を点灯させる場合は 各 LED の輝度データの記述に従って 点灯させたい LED を白色に指定することで点灯できる RGB の 3 色を同時に点灯させると 白色に見えるように輝度データを作成した つまり 外部メモリ内のデータをすべて FF の状態とした 点灯状態は少し青っぽい色に見え 完全な白色を表示できなかった これは RGB の点灯時間データは固定されているためである 動作検証の結果は 輝度データに従って指定した通りの色が確認できた 白色による点灯表示を図 5-3 に示す 図 5-3 白色による点灯表示 32

36 (2) RGB の 3 色による静止画表示 RGB の 3 色を点灯させる場合は 各 LED の輝度データの記述に従って 点灯させたい LED を所望の色に指定することで点灯できる ここでは 上から青 緑 赤という順で輝度データを記述して行った 動作検証の結果は 輝度データに従って指定した通りの色が確認できた RGB の 3 色による点灯表示を図 5-4 に示す 図 5-4 RGB の 3 色による点灯表示 33

37 (3) 文字による静止画表示 文字を点灯させる場合は 各 LED の輝度データの記述に従って 点灯させたい LED を文字の形に指定することで点灯できる ここでは 文字の 工 と 科 を表示したいから 工 と 科 の文字を緑と赤に指定して それ以外の色は紫と黄色というように輝度データを記述して行った 動作検証の結果は 輝度データに従って指定した通りの文字が確認できた 文字による点灯表示を図 5-5 に示す 図 5-5 文字による点灯表示 34

38 (4) 単色点灯の検証 図 5-6 は白色を点灯するときに オシロスコープで見た出力波形である 図 5-6 単色点灯の出力波形 35

39 (5) 多色点灯の検証 図 5-7 は青 緑 赤の順で点灯するときに オシロスコープで見た出力波形である 5-7 多色点灯の出力波形 36

40 5-5 動画表示制御の評価 動画を表示した各場合について次に示す (1) 1 フレームによる動画表示検証 ダブルバッファによって 2 つの文字を 1 フレームずつ点灯させた場合を図 5-5 に示したが 輝度データの転送速度が速すぎて 転送されてきた 2 つの画像はほぼ静止画にしか見えない 2 つの輝度データを重ねているため 色調も不鮮明で はっきりとした動画を表示することができなかった 動作検証の結果は 画像がバラバラであることを示している 1 フレームによる動画点灯表示を図 5-8 に示す 図 フレームによる動画点灯表示 37

41 (2) 60 フレームによる動画表示検証 図 5-9 はダブルバッファによって 図 5-5 に示した 2 つの文字を 60 フレームずつ点灯させる場合である 1 秒間に 60 フレームのデータ転送を行い 転送されてきた 2 つの画像を交互に切換るようになっているため はっきりとした動画を表示できた 動作検証の結果は 色調がよく 文字もはっきり 工科 の 2 文字であることが確認できる 図 フレームによる動画点灯表示 38

42 (3) 動画表示の出力波形 図 5-10 はダブルバッファの動作によって 動画を表示するときに オシロスコープで見た出力波形の様子である 出力波形から外部メモリ 1 にある輝度データと外部メモリ 2 にある輝度データを交互に切換えて転送していることが確認できる 図 5-10 動画表示の出力波形 39

43 5-6 設計上の問題点 今回の研究で製作した動画表示制御回路については マイコンを搭載する予定であったが 時間がなくてできなかった これより RGB の点灯時間のデータが固定されているため 白色を表示するときに はっきりしたきれいな白色を表示できなかった 今回の研究で作成した輝度データは 2 つの外部メモリの中に 直接 2 パターン書き込んでいたため固定されていた しかし 2 つの画面を交互に切り換えて表示するという動画表示の基本を確認できた 今後は輝度データを自由に書き換えられるように改良する必要がある 40

44 第 6 章終わりに 今回の研究で製作した動画表示制御回路は 平成 16 年で設計した連珠状 LED ディスプレイの制御回路を再設計したものであり 輝度データを最低 2 フレーム格納できるメモリを制御回路に備え ダブルバッファの動作によって 2 つのメモリでデータの表示とデータの受信を交互に行えるようにしたものである この研究により 一応通常の動画の動きが見られることを確認した 今後の課題については 今回の動画表示制御回路の設計を通して 設計上で発生した問題点を解決し 任意でさまざまなパターンを連続的に表示して 華麗な動画を見られるようにすることである つまり 対応する方法の一つは平成 16 年で設計した連珠状 LED ディスプレイのマイコンを用いて C 言語による制御回路設計の部分を再設計し それと連結することで 実現は可能と考えられる また 今回の研究で製作した動画制御回路により 動画を表示するために必要な 1 フレームの転送速度は 5.483ms 周波数は 182.4Hz と確認できた マイコンとは転送速度と周波数が異なっているため 同じ速度の転送はできない 今後の設計においては 転送速度を十分考慮して設計を行わなければならない さらに 本研究を通じて LED ディスプレイ上の LED 点灯を制御するための基本的な知識を身につけることができた また FPGA を使って 動画制御回路を設計することによって VHDL の様々な設計テクニックの設計方法を学習することができた 41

45 謝辞 本研究を進めるにあたり日頃より懇切丁寧なご指導してくださいました 高知工科大学工学部電子 光システム工学科矢野政顕教授に深く感謝いたします また 日頃から多くの助言を頂きお世話になりました原央教授 橘昌良助教授 他各先生方に厚くお礼申し上げます また 今回の研究において とくに日頃から多くの助言 始終丁寧なご指導を頂き 大変お世話になりました山岡大祐氏はじめ 同研究室の各先輩方 同学部生の仲間たちに厚くお礼申し上げます そして 日頃から多くの御協力 助言を頂きました中村聡志氏 百田雅人氏 岡本浩和氏にも大変お世話になり とても感謝いたします 最後に 本研究のフルカラー LED を提供してくださった日亜化学工業株式会社殿に感謝します 42

46 参考文献 [1] 電子ディスプレイ小林俊介著電子情報通信学会 1992 [2] 電子ディスプレイ松本正一著オーム社 1995 [3] FAIRCHILD NMC27C64 65,536-Bit(8192 8)CMOS EPROM January 1999 [4] VHDL によるディジタル回路入門並木秀明 永井亘道著株式会社技術評論社 2004 [5] VHDL で学ぶディジタル回路設計吉田たけお 尾知博著 CQ 出版株式会社 2002 [6] HDL による高性能ディジタル回路設計森岡澄夫著 CQ 出版株式会社 2002 [7] VHDL によるハードウェア設計入門長谷川裕恭著 CQ 出版株式会社 2001 [8] HDL 設計練習帳猪飼国夫著 CQ 出版株式会社

47 付録 VHDL の制御プログラム 付録 1 全動画制御回路 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ANIMA_DISPIAY_CONTROL is Port ( CLK : in std_logic; EN : in std_logic; RESET : in std_logic; J : in std_logic; K : in std_logic; SEL : in std_logic_vector(1 downto 0); PD_DATA_1 : in std_logic_vector(7 downto 0); PD_DATA_2 : in std_logic_vector(7 downto 0); MEMORY_ADDRESS : out std_logic_vector(9 downto 0); PC : out std_logic; PD : out std_logic; QC : out std_logic; SC : out std_logic ); end ANIMA_DISPIAY_CONTROL; architecture RTL of ANIMA_DISPIAY_CONTROL is component LED_OUT Port ( CLK : in std_logic; RESET : in std_logic; 44

48 EN : in std_logic; PD_DATA : in std_logic_vector(7 downto 0); RGB_DATA : in std_logic_vector(7 downto 0); end component; PC_OUT : out std_logic; PD_OUT : out std_logic; QC_OUT : out std_logic; SC : out std_logic; MEMORY_ADDRESS_OUT : out std_logic_vector(9 downto 0); RGB_ADDRESS_OUT : out std_logic_vector(1 downto 0); FRAME_END_OUT : out std_logic ); component RGB_DATA_SELECT Port ( RESET : in std_logic; EN : in std_logic; RGB_ADDRESS : in std_logic_vector(1 downto 0); end component; RGB_DATA : out std_logic_vector(7 downto 0)); component PD_DATA_SELECT_CONTROL Port ( RESET : in std_logic; EN : in std_logic; J : in std_logic; K : in std_logic; SEL : in std_logic_vector(1 downto 0); FRAME_END_IN : in std_logic; PD_DATA_IN_1 : in std_logic_vector(7 downto 0); PD_DATA_IN_2 : in std_logic_vector(7 downto 0); end component; PD_DATA_OUT : out std_logic_vector(7 downto 0)); signal RGB_DATA_REG : std_logic_vector(7 downto 0); signal RGB_ADDRESS_REG : std_logic_vector(1 downto 0); 45

49 signal FRAME_END_REG : std_logic; signal PD_DATA_SIG : std_logic_vector(7 downto 0); begin U0:LED_OUT port map ( CLK => CLK, RESET => RESET, EN => EN, PD_DATA => PD_DATA_SIG, RGB_DATA => RGB_DATA_REG, PC_OUT => PC, PD_OUT => PD, QC_OUT => QC, SC => SC, RGB_ADDRESS_OUT => RGB_ADDRESS_REG, MEMORY_ADDRESS_OUT => MEMORY_ADDRESS, FRAME_END_OUT => FRAME_END_REG ); U1:RGB_DATA_SELECT port map ( RESET => RESET, EN => EN, RGB_ADDRESS => RGB_ADDRESS_REG, RGB_DATA => RGB_DATA_REG ); U2:PD_DATA_SELECT_CONTROL port map ( RESET => RESET,J => J, K => K, EN => EN, SEL => SEL, FRAME_END_IN => FRAME_END_REG, PD_DATA_IN_1 => PD_DATA_1, PD_DATA_IN_2 => PD_DATA_2, PD_DATA_OUT => PD_DATA_SIG ); end RTL; 46

50 付録 2 RGB 点灯時間選択回路 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity RGB_DATA_SELECT is Port ( RESET : in std_logic; EN : in std_logic; RGB_ADDRESS : in std_logic_vector(1 downto 0); RGB_DATA : out std_logic_vector(7 downto 0)); end RGB_DATA_SELECT; architecture RTL of RGB_DATA_SELECT is begin RGB_DATA <= " " when ( RGB_ADDRESS = "00" and EN = '1' ) else " " when ( RGB_ADDRESS = "01" and EN = '1' ) else " " when ( RGB_ADDRESS = "10" and EN = '1' ) else " "; end RTL; 47

51 付録 3 輝度データ転送出力回路 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PD_DATA_SELECT_CONTROL is Port ( RESET : in std_logic; EN : in std_logic; J : in std_logic; K : in std_logic; SEL : in std_logic_vector(1 downto 0); FRAME_END_IN : in std_logic; PD_DATA_IN_1 : in std_logic_vector(7 downto 0); PD_DATA_IN_2 : in std_logic_vector(7 downto 0); PD_DATA_OUT : out std_logic_vector(7 downto 0)); end PD_DATA_SELECT_CONTROL; architecture RTL of PD_DATA_SELECT_CONTROL is signal PD_DATA_REG : std_logic_vector(7 downto 0); signal JK_Q : std_logic; signal Q_B : std_logic; signal Q : std_logic; signal FRAME_DATA_COUNT : std_logic_vector(5 downto 0); signal FRAME_COUNT_OUT : std_logic; signal FRAME_END_IN_REG : std_logic; begin --FRAME_COUNT_OUT process ( RESET, FRAME_END_IN, EN ) begin if ( RESET = '0' or EN = '0' ) then FRAME_COUNT_OUT <= '0'; 48

52 elsif ( FRAME_END_IN'event and FRAME_END_IN = '1' ) then if ( FRAME_DATA_COUNT = "111100" ) then FRAME_DATA_COUNT <= "000000"; FRAME_COUNT_OUT <= '1'; else FRAME_DATA_COUNT <= FRAME_DATA_COUNT + '1'; FRAME_COUNT_OUT <= '0'; end if; end if; end process; --FRAME_SELECT-- process ( RESET, EN, SEL(0) ) begin if ( RESET = '0' or EN = '0' ) then FRAME_END_IN_REG <= '0'; PD_DATA_OUT <= ( others => '0' ); else if ( SEL(0) = '0' ) then FRAME_END_IN_REG <= FRAME_END_IN; else FRAME_END_IN_REG <= FRAME_COUNT_OUT; end if; end if; end process; --JK_FF-- Q <= JK_Q; Q_B <= not JK_Q; process ( FRAME_END_IN_REG, RESET ) begin if ( RESET = '0' ) then JK_Q <= '0'; elsif ( FRAME_END_IN_REG' event and FRAME_END_IN_REG = '1' ) then JK_Q <= (J and not JK_Q) or (not K and JK_Q); end if; end process; 49

53 --PD_DATA_MODE-- process ( RESET, EN, JK_Q ) begin if ( RESET = '0' or EN = '0' ) then PD_DATA_REG <= ( others => '0' ); else if ( SEL = "00" ) then PD_DATA_OUT <= PD_DATA_IN_1; elsif ( SEL = "01" ) then PD_DATA_OUT<= PD_DATA_IN_2; else if ( JK_Q = '0' ) then PD_DATA_OUT <= PD_DATA_IN_1; else PD_DATA_OUT<= PD_DATA_IN_2; end if; end if; end if; end process; end RTL; 50

54 付録 4 PC_PD QC SC 出力と LED 出力制御の結合回路 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity LED_OUT is Port ( CLK : in std_logic; RESET : in std_logic; EN : in std_logic; PD_DATA : in std_logic_vector(7 downto 0); RGB_DATA : in std_logic_vector(7 downto 0); PC_OUT : out std_logic; PD_OUT : out std_logic; QC_OUT : out std_logic; SC : out std_logic; MEMORY_ADDRESS_OUT : out std_logic_vector(9 downto 0); RGB_ADDRESS_OUT : out std_logic_vector(1 downto 0); FRAME_END_OUT : out std_logic ); end LED_OUT; architecture RTL of LED_OUT is component PC_PD Port ( RESET : in std_logic; CLK : in std_logic; PD_DATA : in std_logic_vector(7 downto 0); BIT_SELECT : in std_logic_vector(2 downto 0); PC_PD_EN : in std_logic; PC : out std_logic; PD : out std_logic; PC_PD_END : out std_logic; MEMORY_ADDRESS : out std_logic_vector(9 downto 0)); end component; 51

55 component QC Port ( RESET : in std_logic; CLK : in std_logic; QC_EN : in std_logic; end component; QC_END : out std_logic; QC : out std_logic); component SC_OUT Port ( CLK : in std_logic; RESET : in std_logic; SC_EN : in std_logic; BIT_SELECT : in std_logic_vector(2 downto 0); RGB_DATA : in std_logic_vector(7 downto 0); end component; SC_END : out std_logic; SC_S : out std_logic; RGB_ADDRESS_S : out std_logic_vector(1 downto 0)); component LED_OUT_CONTROL Port ( RESET : in std_logic; CLK : in std_logic; EN : in std_logic; PC_PD_END : in std_logic; QC_END : in std_logic; SC_END : in std_logic; end component; PC_PD_EN : out std_logic; QC_EN : out std_logic; SC_EN : out std_logic; BIT_SELECT : out std_logic_vector(2 downto 0); FRAME_END_OUT : out std_logic ); signal PC_PD_END_REG : std_logic; 52

56 signal QC_END_REG : std_logic; signal SC_END_REG : std_logic; signal PC_PD_EN_REG : std_logic; signal QC_EN_REG : std_logic; signal SC_EN_REG : std_logic; signal BIT_SELECT_REG : std_logic_vector(2 downto 0); begin U0:PC_PD port map ( CLK => CLK, RESET => RESET, PD_DATA => PD_DATA, BIT_SELECT => BIT_SELECT_REG, PC_PD_EN => PC_PD_EN_REG, PC => PC_OUT, PD => PD_OUT, PC_PD_END => PC_PD_END_REG, MEMORY_ADDRESS => MEMORY_ADDRESS_OUT ); U1:QC port map ( CLK => CLK, RESET => RESET, QC_EN => QC_EN_REG, QC_END => QC_END_REG, QC => QC_OUT ); U2:SC_OUT port map ( CLK => CLK, RESET => RESET, SC_EN => SC_EN_REG, BIT_SELECT => BIT_SELECT_REG, RGB_DATA => RGB_DATA, SC_END => SC_END_REG, SC_S => SC, RGB_ADDRESS_S => RGB_ADDRESS_OUT ); U3:LED_OUT_CONTROL port map ( CLK => CLK, RESET => RESET, EN => EN, PC_PD_END => PC_PD_END_REG, QC_END => QC_END_REG, SC_END => SC_END_REG, PC_PD_EN => PC_PD_EN_REG, QC_EN => QC_EN_REG, SC_EN => SC_EN_REG, BIT_SELECT => BIT_SELECT_REG, 53

57 FRAME_END_OUT => FRAME_END_OUT ); end RTL; 54

58 付録 5 LED 出力制御回路 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity LED_OUT_CONTROL is Port ( RESET : in std_logic; CLK : in std_logic; EN : in std_logic; PC_PD_END : in std_logic; QC_END : in std_logic; SC_END : in std_logic; PC_PD_EN : out std_logic; QC_EN : out std_logic; SC_EN : out std_logic; BIT_SELECT : out std_logic_vector(2 downto 0); FRAME_END_OUT : out std_logic ); end LED_OUT_CONTROL; architecture RTL of LED_OUT_CONTROL is signal BIT_SELECT_REG : std_logic_vector(2 downto 0); type LED_OUT_STATE is ( PC_PD, QC, SC1, SC2 ); signal LED_OUT_CURRENT_STATE : LED_OUT_STATE; begin --PC_PD_EN_OUT, QC_EN_OUT, SC_EN_OUT-- process ( RESET, CLK, LED_OUT_CURRENT_STATE, PC_PD_END, QC_END, SC_END ) begin if ( RESET = '0' ) then PC_PD_EN <= '0'; QC_EN <= '0'; SC_EN <= '0'; LED_OUT_CURRENT_STATE <= PC_PD; 55

59 elsif ( CLK'event and CLK = '1' ) then case LED_OUT_CURRENT_STATE is when PC_PD => if ( EN = '1' ) then PC_PD_EN <= '1'; SC_EN <= '0'; LED_OUT_CURRENT_STATE <= QC; end if; when QC => if ( PC_PD_END = '1' ) then PC_PD_EN <= '0'; QC_EN <= '1'; LED_OUT_CURRENT_STATE <= SC1; end if; when SC1 => if ( QC_END = '1' ) then QC_EN <= '0'; SC_EN <= '1'; LED_OUT_CURRENT_STATE <= SC2; end if; when SC2 => if ( SC_END = '1') then PC_PD_EN <= '1'; SC_EN <= '0'; LED_OUT_CURRENT_STATE <= QC; end if; when others => LED_OUT_CURRENT_STATE <= PC_PD; end case; end if; end process; --BIT_SELECT_OUT-- BIT_SELECT <= BIT_SELECT_REG; process ( RESET, SC_END ) begin if ( RESET = '0' ) then BIT_SELECT_REG <= ( others => '0' ); 56

60 elsif ( SC_END'event and SC_END = '1' ) then if ( BIT_SELECT_REG = "111" ) then BIT_SELECT_REG <= ( others => '0' ); else BIT_SELECT_REG <= BIT_SELECT_REG + '1'; end if; end if; end process; --FLAME_END-- FRAME_END_OUT <= '1' when ( BIT_SELECT_REG = "111" and PC_PD_END = '1' ) else '0'; end RTL; 57

61 付録 6 輝度データ転送出力回路 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PC_PD is Port ( RESET : in std_logic; CLK : in std_logic; PD_DATA : in std_logic_vector(7 downto 0); BIT_SELECT : in std_logic_vector(2 downto 0); PC_PD_EN : in std_logic; PC : out std_logic; PD : out std_logic; PC_PD_END : out std_logic; MEMORY_ADDRESS : out std_logic_vector(9 downto 0)); end PC_PD; architecture RTL of PC_PD is signal PD_BIT_DATA_CN : std_logic_vector(10 downto 0); signal PC_PD_END_REG : std_logic; signal PC_REG1 : std_logic; signal PC_REG2 : std_logic; signal PD_REG : std_logic; begin --PC_OUT, PC_PD_END-- PC_PD_END <= PC_PD_END_REG; MEMORY_ADDRESS <= PD_BIT_DATA_CN (10 downto 1); process ( RESET, CLK, PC_PD_EN ) begin if ( RESET = '0' or PC_PD_EN = '0' ) then 58

62 PD_BIT_DATA_CN <= ( others => '0' ); PC_PD_END_REG <= '0'; elsif ( CLK'event and CLK = '1' ) then if ( PD_BIT_DATA_CN = " " ) then PD_BIT_DATA_CN <= " "; PC_PD_END_REG <= '1'; else PD_BIT_DATA_CN <= PD_BIT_DATA_CN + '1'; PC_PD_END_REG <= '0'; end if; end if; end process; process ( RESET, CLK ) begin if ( RESET = '0' ) then PC_REG1 <= '0'; elsif ( CLK'event and CLK = '0' ) then PC_REG1 <= PD_BIT_DATA_CN (0); end if; end process; PC <= PC_REG2; process ( RESET, CLK ) begin if ( RESET = '0' ) then PC_REG2 <= '0'; elsif ( CLK'event and CLK = '0' ) then PC_REG2 <= PC_REG1; end if; end process; --PD_OUT-- PD <= PD_REG; process ( RESET, PC_PD_EN, PD_BIT_DATA_CN (0) ) begin if ( RESET = '0' or PC_PD_EN = '0' ) then PD_REG <= '0'; elsif ( PD_BIT_DATA_CN (0)'event and PD_BIT_DATA_CN (0) = '0' ) then case BIT_SELECT is 59

63 when "000" => PD_REG <= PD_DATA(0); when "001" => PD_REG <= PD_DATA(1); when "010" => PD_REG <= PD_DATA(2); when "011" => PD_REG <= PD_DATA(3); when "100" => PD_REG <= PD_DATA(4); when "101" => PD_REG <= PD_DATA(5); when "110" => PD_REG <= PD_DATA(6); when "111" => PD_REG <= PD_DATA(7); when others => PD_REG <= '0'; end case; end if; end process; end RTL; 60

64 付録 7 切換信号発生回路 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity QC is Port ( RESET : in std_logic; CLK : in std_logic; QC_EN : in std_logic; QC_END : out std_logic; QC : out std_logic); end QC; architecture RTL of QC is signal QC_REG : std_logic_vector(1 downto 0); begin QC <= QC_REG(0); QC_END <= QC_REG(1); process ( CLK, RESET, QC_REG, QC_EN ) begin if ( RESET = '0' or QC_EN = '0' ) then QC_REG <= ( others => '0' ); elsif ( CLK'event and CLK = '1' ) then if ( QC_REG = "10" ) then QC_REG <= "10"; else QC_REG <= QC_REG + '1'; end if; end if; end process; end RTL; 61

65 付録 8 SC 出力と SC_CLK 出力の結合回路 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SC_OUT is Port ( CLK : in std_logic; RESET : in std_logic; SC_EN : in std_logic; BIT_SELECT : in std_logic_vector(2 downto 0); RGB_DATA : in std_logic_vector(7 downto 0); SC_END : out std_logic; SC_S : out std_logic; RGB_ADDRESS_S : out std_logic_vector(1 downto 0)); end SC_OUT; architecture RTL of SC_OUT is component SC_CLK Port ( CLK : in std_logic; RESET : in std_logic; SC_EN : in std_logic; BIT_SELECT : in std_logic_vector(2 downto 0); SC_CLK_OUT : out std_logic); end component; component SC Port ( RESET : in std_logic; CLK : in std_logic; SC_EN : in std_logic; SC_CLK_IN : in std_logic; RGB_DATA : in std_logic_vector(7 downto 0); SC : out std_logic; SC_END : out std_logic; RGB_ADDRESS : out std_logic_vector(1 downto 0)); 62

66 end component; signal SC_CLK_REG : std_logic; begin U0:SC_CLK port map ( CLK => CLK, RESET => RESET, SC_EN => SC_EN, BIT_SELECT => BIT_SELECT, SC_CLK_OUT => SC_CLK_REG ); U1:SC port map ( CLK => CLK, RESET => RESET, SC_EN => SC_EN, SC_CLK_IN => SC_CLK_REG, RGB_DATA => RGB_DATA, SC => SC_S, SC_END => SC_END, RGB_ADDRESS => RGB_ADDRESS_S ); end RTL; 63

67 付録 9 LED 点灯時間出力回路 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SC is Port ( RESET : in std_logic; CLK : in std_logic; SC_EN : in std_logic; SC_CLK_IN : in std_logic; RGB_DATA : in std_logic_vector(7 downto 0); SC : out std_logic; SC_END : out std_logic; RGB_ADDRESS : out std_logic_vector(1 downto 0)); end SC; architecture RTL of SC is signal SC_REG : std_logic; signal SC_END_REG : std_logic; signal RGB_COUNT : std_logic_vector(7 downto 0); signal RGB_ADDRESS_COUNT : std_logic_vector(1 downto 0); signal SC_COUNT : std_logic_vector(3 downto 0); signal STATE : std_logic_vector(1 downto 0); begin SC <= SC_REG; SC_END <= SC_END_REG; RGB_ADDRESS <= RGB_ADDRESS_COUNT; process ( RESET, SC_CLK_IN, SC_EN ) begin if ( RESET = '0' or SC_EN = '0' ) then SC_REG <= '0'; SC_END_REG <= '0'; RGB_COUNT <= (others => '0'); 64

68 RGB_ADDRESS_COUNT <= (others => '0'); SC_COUNT <= (others => '0'); STATE <= (others => '0'); elsif ( SC_CLK_IN'event and SC_CLK_IN = '1' ) then case STATE is when "00" => SC_REG <= '1'; RGB_COUNT <= ( others => '0' ); RGB_ADDRESS_COUNT <= ( others => '0' ); SC_COUNT <= SC_COUNT + '1'; STATE <= "01"; when "01" => if ( RGB_COUNT = RGB_DATA ) then SC_REG <= '1'; RGB_COUNT <= (others => '0'); if ( SC_COUNT = "1100" ) then RGB_ADDRESS_COUNT <= ( others => '0' ); SC_COUNT <= (others => '0'); STATE <= "10"; else if ( RGB_ADDRESS_COUNT = "10" ) then RGB_ADDRESS_COUNT <= ( others => '0' ); else RGB_ADDRESS_COUNT <= RGB_ADDRESS_COUNT + '1'; end if; SC_COUNT <= SC_COUNT + '1'; STATE <= "01"; end if; else SC_REG <= '0'; RGB_COUNT <= RGB_COUNT + '1'; STATE <= "01"; end if; when "10" => SC_REG <= '0'; SC_END_REG <= '1'; 65

69 STATE <= "10"; when others => STATE <= "00"; end case; end if; end process; end RTL; 66

70 付録 10 クロック分周選択出力回路 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SC_CLK is Port ( CLK : in std_logic; RESET : in std_logic; SC_EN : in std_logic; BIT_SELECT : in std_logic_vector(2 downto 0); SC_CLK_OUT : out std_logic); end SC_CLK; architecture RTL of SC_CLK is signal CLK_COUNT : std_logic_vector(7 downto 0); signal Q_OUT : std_logic_vector(7 downto 0); signal CLK_IN : std_logic_vector(7 downto 0); begin Q_OUT(0) <= CLK_IN(0); Q_OUT(1) <= CLK_IN(1); Q_OUT(2) <= CLK_IN(2); Q_OUT(3) <= CLK_IN(3); Q_OUT(4) <= CLK_IN(4); Q_OUT(5) <= CLK_IN(5); Q_OUT(6) <= CLK_IN(6); Q_OUT(7) <= CLK_IN(7); --CLK_COUNT-- process ( RESET, CLK, SC_EN ) begin if ( RESET = '0' or SC_EN = '0' ) then CLK_COUNT <= (others => '0'); 67

71 elsif ( CLK'event and CLK = '1' ) then if ( CLK_COUNT = " " ) then CLK_COUNT <= ( others => '0' ); else CLK_COUNT <= CLK_COUNT + '1'; end if; end if; end process; --CLK_BUSYU-- process ( CLK_COUNT(0) ) begin if ( CLK_COUNT(0) = '1' ) then CLK_IN(0) <= '1'; else CLK_IN(0) <= '0'; end if; end process; process ( CLK_COUNT(1 downto 0) ) begin if ( CLK_COUNT(1 downto 0) = "11" ) then CLK_IN(1) <= '1'; else CLK_IN(1) <= '0'; end if; end process; process ( CLK_COUNT(2 downto 0) ) begin if ( CLK_COUNT(2 downto 0) = "111" ) then CLK_IN(2) <= '1'; else CLK_IN(2) <= '0'; end if; end process; process ( CLK_COUNT(3 downto 0) ) 68

72 begin if ( CLK_COUNT(3 downto 0) = "1111" ) then CLK_IN(3) <= '1'; else CLK_IN(3) <= '0'; end if; end process; process ( CLK_COUNT(4 downto 0) ) begin if ( CLK_COUNT(4 downto 0) = "11111" ) then CLK_IN(4) <= '1'; else CLK_IN(4) <= '0'; end if; end process; process ( CLK_COUNT(5 downto 0) ) begin if ( CLK_COUNT(5 downto 0) = "111111" ) then CLK_IN(5) <= '1'; else CLK_IN(5) <= '0'; end if; end process; process ( CLK_COUNT(6 downto 0) ) begin if ( CLK_COUNT(6 downto 0) = " " ) then CLK_IN(6) <= '1'; else CLK_IN(6) <= '0'; end if; end process; process ( CLK_COUNT(7 downto 0) ) begin if ( CLK_COUNT(7 downto 0) = " " ) then 69

73 CLK_IN(7) <= '1'; else CLK_IN(7) <= '0'; end if; end process; --CLK_SELECT-- SC_CLK_OUT <= CLK_IN(0) when ( BIT_SELECT = "000" and SC_EN = '1' ) else CLK_IN(1) when ( BIT_SELECT = "001" and SC_EN = '1' ) else CLK_IN(2) when ( BIT_SELECT = "010" and SC_EN = '1' ) else CLK_IN(3) when ( BIT_SELECT = "011" and SC_EN = '1' ) else CLK_IN(4) when ( BIT_SELECT = "100" and SC_EN = '1' ) else CLK_IN(5) when ( BIT_SELECT = "101" and SC_EN = '1' ) else CLK_IN(6) when ( BIT_SELECT = "110" and SC_EN = '1' ) else CLK_IN(7) when ( BIT_SELECT = "111" and SC_EN = '1' ) else '0'; end RTL; 70

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

TECH_I Vol.25 改訂新版PCIデバイス設計入門

TECH_I Vol.25 改訂新版PCIデバイス設計入門 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity n is port( ); end entity n; architecture RTL of nis begin when : process begin end process :process begin end process

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

フリップフロップ

フリップフロップ 第 3 章フリップ フロップ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2005/10/17 2006, Masaharu Imai 1 講義内容 フリップ フロップの基本原理 RS フリップ フロップ D ラッチ D フリップ フロップ JK フリップ フロップ T フリップ

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

VLSI工学

VLSI工学 25/1/18 計算機論理設計 A.Matsuzawa 1 計算機論理設計 (A) (Computer Logic Design (A)) 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 3. フリップフロップ回路とその応用 25/1/18 計算機論理設計 A.Matsuzawa 2 25/1/18 計算機論理設計 A.Matsuzawa 3 注意 この教科書では記憶回路を全てフリップフロップと説明している

More information

論文番号 分 2-2 平成 24 年度全国情報技術教育研究会第 41 回全国大会 ( 新潟大会 ) Xbee を活用した無線通信の研究 期日平成 24 年 8 月 9 日 ( 木 )~10 日 ( 金 ) 場所長岡市シティホールプラザ アオーレ長岡 香川県立三豊工業高等学校 電子科 本行

論文番号 分 2-2 平成 24 年度全国情報技術教育研究会第 41 回全国大会 ( 新潟大会 ) Xbee を活用した無線通信の研究 期日平成 24 年 8 月 9 日 ( 木 )~10 日 ( 金 ) 場所長岡市シティホールプラザ アオーレ長岡 香川県立三豊工業高等学校 電子科 本行 論文番号 2012- 分 2-2 平成 24 年度全国情報技術教育研究会第 41 回全国大会 ( 新潟大会 ) Xbee を活用した無線通信の研究 期日平成 24 年 8 月 9 日 ( 木 )~10 日 ( 金 ) 場所長岡市シティホールプラザ アオーレ長岡 香川県立三豊工業高等学校 電子科 本行圭介 Xbee を活用した無線通信の研究 香川県立三豊工業高等学校電子科本行圭介 1 はじめに現在 様々な電子機器に無線通信機能が搭載されており

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

PeakVHDL Max+Plus VGA VG

PeakVHDL Max+Plus VGA VG 2001 PC 9720002 14 2 7 4 1 5 1.1... 5 1.2... 5 1.3... 6 1.4... 6 2 7 2.1... 7 2.2... 8 2.2.1... 8 2.3... 9 2.3.1 PeakVHDL... 9 2.3.2 Max+Plus2... 9 3 VGA 10 3.1... 10 3.2 VGA... 10 3.3 VGA... 11 3.4 VGA...

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

untitled

untitled FPGA を使用した CMOS カメラ ディスプレイ回路の製作 小野雅晃 筑波大学 システム情報工学等支援室装置開発班 概要 CMOS カメラから出力されたデータを使用して ディスプレイに表示する回路を FPGA (Feild Programmable Gate Array) で作成した CMOS カメラから出力された YUV422 データのうちの Y ( 輝度 ) データを FPGA に取り込んで

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

卒 業 研 究 報 告

卒 業 研 究 報 告 VHDL 1040183 16 2 17 1 1 2 2 2 2 2 1 2 2 2 3 7 3 18 19 20 22 23 25 4 VHDL 27 27 8 BCD 2 27 28 REG_B 29 29 STATE 29 31 VHDL 5 VHDL 1 CPU Hardware Description Language : HDL VHDL VHSIC HDL 1 2 3 VHDL 4 3

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

画像類似度測定の初歩的な手法の検証

画像類似度測定の初歩的な手法の検証 画像類似度測定の初歩的な手法の検証 島根大学総合理工学部数理 情報システム学科 計算機科学講座田中研究室 S539 森瀧昌志 1 目次 第 1 章序論第 章画像間類似度測定の初歩的な手法について.1 A. 画素値の平均を用いる手法.. 画素値のヒストグラムを用いる手法.3 C. 相関係数を用いる手法.4 D. 解像度を合わせる手法.5 E. 振れ幅のヒストグラムを用いる手法.6 F. 周波数ごとの振れ幅を比較する手法第

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

Arduino をドリトルから 制御する教材の試行 鈴木裕貴 1

Arduino をドリトルから 制御する教材の試行 鈴木裕貴 1 Arduino をドリトルから 制御する教材の試行 鈴木裕貴 1 目次 1. はじめに 1.1. 背景と目的 1.2. ScratchとViscuit 1.3. ドリトル 2. 準備 2.1. ArduinoとRaspberry Pi 3. 演習内容 3.1. ドリトル 3.2. 指導内容例 4. まとめ 2 1. はじめに ( 背景 ) 原理 理解 活用社会 3 1. はじめに ( 背景 ) 原理

More information

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB)

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB) コンピュータ工学講義プリント (12 月 11 日 ) 今回は ローテイト命令を用いて 前回よりも高度な LED の制御を行う 光が流れるプログラム 片道バージョン( 教科書 P.119 参照 ) 0.5 秒ごとに 教科書 P.119 の図 5.23 の様に LED の点灯パターンが変化するプログラムを作成する事を考える この様にすれば 光っている点が 徐々に右に動いているように見え 右端まで移動したら

More information

------------------------------------------------------------------------------------------------------- 1 --------------------------------------------

------------------------------------------------------------------------------------------------------- 1 -------------------------------------------- ------------------------------------------------------------------------------------------------------- 1 -------------------------------------------------------------------------- 2 -----------------------------------------------------------------------------

More information

VBI VBI FM FM FM FM FM DARC DARC

VBI VBI FM FM FM FM FM DARC DARC 14 2 7 2.1 2.1.1 2.1.2 2.1.3 2.1.3.1 VBI 2.1.3.2 VBI 2.1.4 2.1.5 2.1.6 10 2.FM 11 2.2.1 FM 11 2.2.2 FM 11 2.2.3FM 13 2.2.4 FM DARC 14 2.2.4.1 DARC 14 2.2.4.2 DARC 14 17 3.1 17 3.1.1 parity 17 3.1.2 18

More information

スライド 1

スライド 1 2018 年 6 月 20 日 ( 水 ) 弘前工業高等学校情報技術科見学会 模擬講義 (40 分 09:45~10:30 458 プログラミング室 ) PLD 演習システム体験 弘前大学理工学部電子情報工学科一條健司 サポート学生浅野 (4 年 ) 日下部 (4 年 ) 高室 (4 年 ) 佐藤 ( 修士 2 年 ) 増田 ( 修士 2 年 ) 三ケ田 ( 修士 2 年 ) 印の椅子に着席して下さい

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

ComputerArchitecture.ppt

ComputerArchitecture.ppt 1 人間とコンピュータの違い コンピュータ 複雑な科学計算や膨大な量のデータの処理, さまざまな装置の制御, 通信などを定められた手順に従って間違いなく高速に実行する 人間 誰かに命令されなくても自発的に処理したり, 条件が変化しても臨機応変に対処できる 多くの問題解決を経験することで, より高度な問題解決法を考え出す 数値では表しにくい情報の処理ができる 2 コンピュータの構成要素 構成要素 ハードウェア

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

ACモーター入門編 サンプルテキスト

ACモーター入門編 サンプルテキスト 技術セミナーテキスト AC モーター入門編 目次 1 AC モーターの位置付けと特徴 2 1-1 AC モーターの位置付け 1-2 AC モーターの特徴 2 AC モーターの基礎 6 2-1 構造 2-2 動作原理 2-3 特性と仕様の見方 2-4 ギヤヘッドの役割 2-5 ギヤヘッドの仕様 2-6 ギヤヘッドの種類 2-7 代表的な AC モーター 3 温度上昇と寿命 32 3-1 温度上昇の考え方

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

電子回路基礎

電子回路基礎 電子回路基礎アナログ電子回路 デジタル電子回路の基礎と応用 月曜 2 時限目教室 :D205 天野英晴 hunga@am.ics.keio.ac.jp 講義の構成 第 1 部アナログ電子回路 (4/7, 4/14, 4/21, 5/12, 5/19) 1 ダイオードの動作と回路 2 トランジスタの動作と増幅回路 3 トランジスタ増幅回路の小信号等価回路 4 演算増幅器の動作 5 演算増幅器を使った各種回路の解析

More information

Microsoft PowerPoint - 集積デバイス工学7.ppt

Microsoft PowerPoint - 集積デバイス工学7.ppt 集積デバイス工学 (7 問題 追加課題 下のトランジスタが O する電圧範囲を求めよただし T, T - とする >6 問題 P 型 MOS トランジスタについて 正孔の実効移動度 μ.7[m/ s], ゲート長.[μm], ゲート幅 [μm] しきい値電圧 -., 単位面積あたりの酸化膜容量

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

FPGAによる24時間時計回路

FPGAによる24時間時計回路 の設計 通信処理ネットワーク研究室 10ec062 志村貴大 1. まえがき今回 24 時間時計回路の設計を行った理由は FPGA を用いた論理回路設計の基礎を学ぶにあたり ハード及びソフト双方の基本技術を一度に習得できる題材であると推測したためである 24 時間時計を構成するモジュールの設計を終えた今 その推測は正しかったものと自負している 本レポートは 復習を兼ねた制作記録としてだけではなく 自分と同じ回路設計初心者が学習の参考にできるものにしたいと考えている

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

<4D F736F F D2091AA92E895FB964082C982C282A282C45F >

<4D F736F F D2091AA92E895FB964082C982C282A282C45F > 相対強度 の特性測定方法について 製品の特性は主に光学的な特性面と電気的な特性面で仕様化されております この文書はこれらの特性がどのような方法で数値化されているか すなわち測定方法や単位系などについて解説しております また 弊社は車載用途向けの に関しましてはパッケージの熱抵抗を仕様化しておりますので その測定方法について解説しております 光学的特性 の発光量を表す単位には 2 つの単位があります

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 マルチサイクルを用いた実現方式 ( 教科書 5. 節 ) マルチサイクル方式 () 2 つのデータパス実現方式 単一クロックサイクル : 命令を クロックサイクルで処理 マルチクロックサイクル : 命令を複数クロックサイクルで処理 単一クロックサイクル方式は処理効率が悪い. CLK 処理時間 命令命令命令命令命令 時間のかかる命令にクロック サイクル時間をあわさなければならない. 余り時間の発生 クロック

More information

Photo Sensor – 적외선 센서

Photo Sensor – 적외선 센서 AVR 用 USB-ISP V03.5 マニュアル (Model:AD-USBISP V03.5(-L)) 改訂日 : 2013 年 04 月 18 日 1 AVR 用 USB-ISP V03.5(Model:AD-USBISP V03.5(-L)) 紹介 AVR 用 ISP(In System Programming) 開発機器 AVR 内部のプログラムメモリーに作成したプログラムをダウンロードしてテスト可能

More information

FPGA と LUPO その1

FPGA と LUPO その1 FPGA Lecture for LUPO and GTO Vol. 1 2010, 31 August (revised 2013, 19 November) H. Baba Contents FPGA の概要 LUPO の基本的な使い方 New Project Read and Write 基本的な Behavioral VHDL simulation Firmware のダウンロード FPGA

More information

HW-Slides-04.ppt

HW-Slides-04.ppt ハードウェア実験 組み込みシステム入門第 4 回 2012 年 10 月 11 日 IC TRAINER の導入 2 ブレッドボードとは何か! 手引き書 P8 半田付けせずに 簡単にリード線を差し込むだけで回路の動作を調べることができるボード! 部品挿入エリアでは ABCDE が縦に裏側で接続されている! 電源ラインでは 横に接続されている! 慣例として! 赤 : + 電源! 青 :- 電源または

More information

iCLR

iCLR RF24N1D-05-TK 無線モジュール簡易評価キット 取扱説明書 第 1.02 版 2014 年 05 月 01 日 株式会社 TOUA 1/10 目次 1. はじめに... 3 1.1. 無線モジュール簡易評価キットの概要... 3 1.2. 免責事項... 3 2. 無線モジュール簡易評価キットの構成... 3 3. 評価ボードの説明... 4 4. 事前準備... 5 5. 評価キットの実機動作...

More information

Microsoft PowerPoint LCB_8.ppt

Microsoft PowerPoint LCB_8.ppt ( 第 8 回 ) 鹿間信介摂南大学理工学部電気電子工学科 論理記号 5. 論理機能記号と論理記号 5.. 論理機能記号 5..2 論理記号 5..4 ダイオードによるゲート回路 5..3 論理回路の結線と論理ゲートの入出力特性 (DTL & TTL) 演習 頻度 中間試験結果 35 3 25 2 5 5 最小 3 最大 (6 名 ) 平均 74. 6 以上 86 人 (76%) 6 未満 27 人

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

PLZ-5W_ KPRI21.pdf

PLZ-5W_ KPRI21.pdf The Flagship New DC Electronic Load PLZ-5W D C E L E C T R O N I C L O A D the Flagship PLZ-5W series CC Mode / High range / 0-80A Switching Ch4 load current 20A/div Horizontal 10us/div SET[A] (100 %)

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

SICE東北支部研究集会資料(2014年)

SICE東北支部研究集会資料(2014年) 計測自動制御学会東北支部第 291 回研究集会 (2014 年 10 月 23 日 ) 資料番号 291-12 断熱回路技術を用いた 低消費デジタル PWM 制御回路の設計 Design of low-power digital PWM circuit with adiabatic dynamic CMOS logic 鈴木暖 ( 山形大学 ), 阿部啄也 ( 山形大学 ), 澤田直樹 ( 山形大学

More information

回路設計 WEBラボ:10ビットのプチDACをRTLで動かしてみる(おまけソースつき)

回路設計 WEBラボ:10ビットのプチDACをRTLで動かしてみる(おまけソースつき) 10 ビットのプチ DAC を RTL で動かしてみる ( おまけソースつき ) 著者 : 石井聡 はじめに このところ デジタル コンサート ホール というもので楽しみ始めました ( 音だけで楽しんでいます ) チケット購入は週間視聴コースからで PayPal でも決済できます http://www.digitalconcerthall.com/ さて AD5611 という 10bit DAC があります

More information

SICE東北支部研究集会資料(2009年)

SICE東北支部研究集会資料(2009年) 計測自動制御学会東北支部第 5 回研究集会 (9.7.5) 資料番号 5- FPGA を用いたステッピングモータの制御に関する検討 Control of a Stepping Motor using FPGA 萩原正基 *, 秋山宜万 *, 松尾健史 *, 三浦武 *, 谷口敏幸 * Masaki Hagiwara*, oshikazu Akiyama*, Kenshi Matsuo*, Takeshi

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

Report Template

Report Template MachXO2 EFB(Embedded Function Block) 1 目次 1 このドキュメントの概要 3 2 EFB の構成 4 3 EFB とハードマクロの生成と注意事項 5 3.1 EFB Enables タブの設定... 5 3.2 I2C タブの設定... 6 3.3 SPI タブの設定... 7 3.4 Timer/Counter タブの設定... 9 4 Wishbone から

More information

Microsoft Word - 卒業論文.doc

Microsoft Word - 卒業論文.doc 卒業研究論文 (2009 年 2 月 ) CPLD によるミニゲーム集の制作 ソフトウェア情報学部 ソフトウェア情報学科 和島研究室 ソ 17001 相坂俊 1. 背景... 4 2. 開発環境... 4 2.1 ハードウェア... 4 2.1.1 CPLD... 4 2.1.2 Terasic-Blaster... 6 2.1.3 フラットケーブル... 6 2.2 ソフトウェア... 7 2.2.1

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

ブロック図 真理値表 入力出力 OUTn (t = n) CLOCK LATCH ENABLE SERIAL-IN OUT 0 OUT 7 OUT 15 SERIAL OUT H L D n D n D n 7 D n 15 D n 15 L L D n No Change D n 15 ( 注 )

ブロック図 真理値表 入力出力 OUTn (t = n) CLOCK LATCH ENABLE SERIAL-IN OUT 0 OUT 7 OUT 15 SERIAL OUT H L D n D n D n 7 D n 15 D n 15 L L D n No Change D n 15 ( 注 ) 東芝 Bi CMOS 集積回路シリコンモノリシック TB62706BN,TB62706BF TB62706BN/BF 16 ビット定電流 LED ドライバ TB62706BN TB62706BF は 16 ビットの電流値を可変可能な定電流回路と これをオン オフ制御する 16 ビットシフトレジスタ ラッチおよびゲート回路から構成された定電流 LED ドライバです ( アノードコモン ) Bi CMOS

More information

スライド 1

スライド 1 8. ステッピングモータの制御を学ぼう 秋月電子通商 PIC ステッピングモータドライバキット ( 小型モータ付き ) を参照しました. 回路製作の詳細は第 0 章を参照してください. 1 2 第 0 章図 28 より完成写真 ( マイコン回路 + ステッピングモータ駆動回路 ) PIC マイコンによるステッピングモータの制御 PIC16F84 R 1 R 2 RB6 RB0 ステッピングモータ S

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

<4D F736F F F696E74202D2091E FCD91BD8F6489BB82C691BD8F E835A83582E >

<4D F736F F F696E74202D2091E FCD91BD8F6489BB82C691BD8F E835A83582E > 多重伝送と多重アクセス コミュニケーション工学 A 第 4 章 多重伝送と多重アクセス 多重伝送周波数分割多重 (FDM) 時分割多重 (DM) 符号分割多重 (CDM) 多重アクセス 多重伝送 地点から他の地点へ複数チャネルの信号を伝送するときに, チャネル毎に異なる通信路を用いることは不経済である. そこでつの通信路を用いて複数チャネルの信号を伝送するのが多重伝送である. 多重伝送の概念図 チャネル

More information

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n を入力してもらい その後 1 から n までの全ての整数の合計 sum を計算し 最後にその sum

More information

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O コンピュータ工学講義プリント (1 月 29 日 ) 今回は TA7257P というモータ制御 IC を使って DC モータを制御する方法について学ぶ DC モータの仕組み DC モータは直流の電源を接続すると回転するモータである 回転数やトルク ( 回転させる力 ) は 電源電圧で調整でき 電源の極性を入れ替えると 逆回転するなどの特徴がある 図 1 に DC モータの仕組みを示す DC モータは

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

Microsoft Word - JHS2022のLED製品分類のポジションペーパー(第3版) Yanagi.doc

Microsoft Word - JHS2022のLED製品分類のポジションペーパー(第3版) Yanagi.doc 2022 年版関税分類品目表 (HS2022) に於ける LED 照明製品の分類に対する一般社団法人日本照明工業会 (JLMA) のポジション ペーパー第 3 版 平成 28 年 7 15 まえがき 2012 年版関税分類品目表 (HS2012) に於ける LED 照明製品の分類 HS2012 において 従来 (LED ではない ) 照明製品に対する照明製品の HS コード分類は以下の項のように定義されている

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

ブロック図 真理値表 STEP CLOCK LATCH ENABLE SERIAL IN OUT 0 OUT5 OUT 7 SERIAL OUT 1 UP H L D n D n D n 5 D n 7 D n 7 2 UP L L D n+1 No change D n 6 3 UP H L D

ブロック図 真理値表 STEP CLOCK LATCH ENABLE SERIAL IN OUT 0 OUT5 OUT 7 SERIAL OUT 1 UP H L D n D n D n 5 D n 7 D n 7 2 UP L L D n+1 No change D n 6 3 UP H L D 東芝 Bi CMOS 集積回路シリコンモノリシック TB62705CP/CF/CFN TB62705CP,TB62705CF,TB62705CFN 8 ビット定電流 LED ドライバ TB62705CP / CF / CFN は 8 ビットの電流値を可変可能な定電流回路と これをオン オフ制御する 8 ビットシフトレジスタ ラッチおよびゲート回路から構成された定電流 LED ドライバです ( アノードコモン

More information

LED テープライト一覧表 VOL.3 目次 1 LED テープライトの概要 2 標準的 LED テープライト 1) DC12V 仕様 LED テープライト ( 幅 10mm) 2) DC5V 仕様 LED テープライト ( 幅 10mm) 3) RGB タイプ LED テープライト ( 幅 10m

LED テープライト一覧表 VOL.3 目次 1 LED テープライトの概要 2 標準的 LED テープライト 1) DC12V 仕様 LED テープライト ( 幅 10mm) 2) DC5V 仕様 LED テープライト ( 幅 10mm) 3) RGB タイプ LED テープライト ( 幅 10m LED テープライト一覧表 VOL.3 目次 1 LED テープライトの概要 2 標準的 LED テープライト 1) DC12V 仕様 LED テープライト ( 幅 10mm) 2) DC5V 仕様 LED テープライト ( 幅 10mm) 3) タイプ LED テープライト ( 幅 10mm) 4) タイプ LED テープライト使用例 5) 主な LED 点滅モードおよびパターン例 3 特殊 LED

More information

NCB564個別00版

NCB564個別00版 HES-M00 シリーズの新機能 脱調レス / 脱調検出 1 1. 概要 EtherCAT モーションコントロール機能内蔵 2 相マイクロステップモータドライバ HES-M00 シリーズにエンコーダ入力が追加され, 脱調検出 / 脱調レス等の機能が付加されました 2. 仕様 項目 仕様 備考 制御軸数 1 ボードで 1 軸制御 最大 枚 ( 軸制御 ) までスタック可能 電源電圧 ( モータ駆動電圧

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information