Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt"

Transcription

1 ITRS 2013 年版の概要と ITRS の編集方針 JEITA 半導体技術ロードマップ委員会 (STRJ) 委員長石内秀美 (( 株 ) 東芝 ) 本講演は ITRS でまとめた技術ロードマップについて説明したもので ITRS 参加企業 団体 JEITA 会員企業の個別の製品や技術開発の方向について説明したものではありません 1

2 主要略語一覧 (Glossary) ERD: Emerging Research Devices 新探究デバイス (ITRS の章の名前でもある ) ERM: Emerging Research Materials 新探究材料 (ITRS の章の名前でもある ) FEP: Front End Process シリコンウェーハ工程の前半部 (ITRS の章の名前でもある ) High-k: 高誘電率 ( 比誘電率の記号として k を使うことから ) 絶縁膜 MOSFET 用のゲート絶縁膜 ITRS: International Technology Roadmap for Semiconductors 国際半導体技術ロードマップ ITWG: International Technology Working Group (ITRS の技術ワーキンググループ ) JEITA: 社団法人電子情報技術産業協会 (Japan Electronics and Information Technology Industries Association) M1: Metal-1 最下層 ( 第 1) の金属配線層 MPU: Micro Processor Unit マイクロプロセッサ NTRS: National Technology Roadmap for Semiconductors 米国の SIA が編集した半導体技術ロードマップ ORTC: Overall Roadmap Technology Characteristics (ITRS の Executive Summary の中で全体的技術トレンドが書かれている節の名前 ) PIDS: Process Integration, Devices and Structures (ITRS の章の名前 ) SIA: Semiconductor Industry Association 米国半導体工業会 STRJ: Semiconductor Technology Roadmap committee of Japan 半導体技術ロードマップ専門委員会 JEITA 半導体部会半導体技術委員会の専門委員会 2

3 内容 ITRS の歴史と基本的な編集方針 ITRS 2013 年版の概要 微細化トレンド MOS トランジスタ ITRS の章構成 ハーフピッチの定義と生産開始年 More Moore と More than Moore さらに Beyond CMOS 直径 450mm のシリコンウェーハ ITRS の編集プロセスの変更 ITRS と STRJ のウェブサイトの紹介 3

4 MicroTech 2000 Workshop Report 1992NTRS 1994NTRS 1997NTRS SIA Roadmap STRJ, ITRS の歴史と現状 Europe Japan Korea Taiwan USA ITRS STRJ は ITRS の日本側対応組織として 1998 年に発足 1998 Update 1999 ITRS 2000 Update 2001 ITRS 2002 Update 2003 ITRS Update 2007 ITRS 2008 Web 版 Update 2009 ITRS 2010 Update 2005 ITRS Update 2011 ITRS 2012 Web 版 Update 2013 ITRS 4

5 ITRS 編集の基本的考え方 ムーアの法則 1 チップ当たりの素子数 ( トランジスタ数 ) は 1.5 年から 2 年ごとに 2 倍になる ムーアの法則を維持するために何が必要か 重要な技術課題を選定 それぞれの技術課題ごとに定量的な表を作成 表を毎年更新 More than Moore( 多様化 ) と beyond CMOS ITRSが与えた影響 半導体業界 ( チップメーカ 装置メーカ 材料メーカ ) 大学や公的研究機関 行政機関が技術のペースメーカとして利用 5

6 ITRS の委員 ( 地域別 所属別 ) 出典 : ITRS ITRS Members by Region 2005 ITRS Members by Affiliation USA (709) 55% Europe (124) 10 % Japan (223) 17 % Ko re a (6 0 ) 5% Consortia / Research In s t itu t e / Unive rs ity (274) 21% Others (74) 6% Chip makers (677) 53% Taiwan (172) 13 % Equipment / Materials Suppliers (263) 20% 6

7 ITRS 2013 年版の改訂の概要 (1) Logic LSI と MPU( マイクロプロセッサ ) の微細化トレンド ( 最終版ではこれと異なる可能性があります ) 通称のロジックノードと ITRS 定義の Mx( 金属配線層 ) ハーフピッチ 年 ( 西暦 ) ロジックノード (nm) 16/ Mx ハーフピッチ (nm) MPUのMxは3 年で0.7 倍の微細化が進む SRAMセルと2 入力 NANDゲートの専有面積は Mxハーフピッチをfとしたとき それぞれ 60f 2 と 155f 2 FinFET( フィン型トランジスタ ) のゲートの目標ハーフピッチは 0.75f 7

8 ITRS 2013 年版の改訂の概要 (2) Logic LSI と MPU( マイクロプロセッサ ) の微細化トレンド ( 続き ) ( 最終版ではこれと異なる可能性があります ) 2022 年にMx( 金属配線層 ) ハーフピッチは14nmに到達 この年以降 Cu( 銅 ) に代わる配線材料が必要 14 nmのパターン形成にはeuvリソグラフィーとダブルパターニングの組み合わせが必要 ロジックの微細化トレンドは ITRS 2011/2012 年版と比べ 約 3.5 年遅 れ パターン寸法はNANDフラッシュに比べると なお大きい. 8

9 ITRS 2013 年版の改訂の概要 (3) メモリの微細化トレンド ( 最終版ではこれと異なる可能性があります ) DRAMとNANDフラッシュメモリ (2 次元メモリセル ) の ハーフピッチの微細化トレンド 年 ( 西暦 ) DRAM(nm) フラッシュ (nm) DRAM の微細化トレンド 2013 年以降 4 年で0.7 倍の微細化 ( 従来は3 年で0.7 倍 ) 4f 2 型のメモリセルの導入は2016 年に後ろ倒し ( 従来は2014 年 ) PC 用のメモリとしては 従来ほど大容量を要求されなくなってきている セルキャパシタの静電容量の確保が微細化とともに困難になってきた 9

10 ITRS 2013 年版の改訂の概要 (4) NAND フラッシュメモリの微細化トレンド ( 最終版ではこれと異なる可能性があります ) 微細化トレンドは従来の版と同じ ハーフピッチの微細化限界は 12nmで 以後の微細化が止まる NANDフラッシュメモリは 集積回路の中で 最も微細なパターンを使用 2 次元のメモリセルの微細化と並行して 3 次元メモリセルが開発される 2013 年には 以下のような3 次元メモリの発表がなされた 24 層の積層 1チップあたり 128 G bits の集積化 ITRSでは2 次元と3 次元の両方について 微細化トレンドを記述 10

11 ITRS 2013 年版の改訂の概要 (5) Logic LSI と MPU 用の MOS トランジスタのモデルについて ( 最終版ではこれと異なる可能性があります ) Purdue 大学と共同でMOSFETのモデリングとシミュレーションを行う MOSFETの構造 : Bulk( バルクSi 基板上の平面型 MOSFET 構造 ) FDSOI (SOIウェーハ上の完全空乏型 MOSFET) MugFET (FinFETに代表される3 次元構造の多重ゲートMOSFET) MOSFETのチャンネル部の材料 : 歪 Si ⅢⅤ 族の半導体 高誘電率ゲート絶縁膜 (HK) と金属ゲート電極 (MG) 11

12 MOS トランジスタの構造 2012 Update Note: Leadership company First Manufacturing could set more Aggressive first production target, since fast followers may trail 1 3 years Gate stack material Channel material Structure (electrostatic control) S Metal High k Si + Stress Bulk PDSOI D Metal High k 2nd generation PIDS Acceleration - for 2012 ITRS Update FDSOI Possible Pull in Metal High k nth generation S Multi gate (on bulk or SOI) D High µ InGaAs; Ge Possible Delay [ PIDS/FEP/Design HP/LOP/LSTP Sub Team Transistor Modeling Work Underway for 2013 ITRS ] 2011 ITWG Table Timing: nm 2011 ITRS Flash Poly : 54nm 45nm nm 22nm 8nm nm ITRS DRAM M1 : 68nm 45nm 32nm 22nm 16nm 11nm 8nm MPU/hpASIC Node : 45nm 32nm 22/20nm 16/14nm 11/10nm 8/7nm 2011 ITRS MPU/hpASIC M1 : 76nm 65nm 54nm 45nm 38nm 32nm 27nm 19nm 13nm 2011 ITRS hi-perf GLpr : 54nm 47nm 47nm 41nm 35nm 31nm 28nm 20nm 14nm 2011 ITRS hi-perf GLph : 32nm 29nm 29nm 27nm 24nm 22nm 20nm 15nm 12nm Source: 2011 ITRS Executive Summary Fig 5 12

13 ITRS 2013 年版の章構成 ( 予定 ) 1. Executive Summary 2. System Drivers 3. Design 4. Test & Test Equipment 5. Process Integration, Devices & Structures 6. RF and A/MS Technologies 7. Emerging Research Devices 8. Emerging Research Materials 9. Front End Processes 10. Lithography 11. Interconnect 12. Factory Integration 13. Assembly & Packaging 14. Environment, Safety & Health 15. Yield Enhancement 16. Metrology 17. Modeling & Simulation 18. MEMS 13

14 Half Pitch の定義 FLASH Poly Silicon ½ Pitch = Flash Poly Pitch/2 Poly Pitch DRAM ½ Pitch = DRAM Metal Pitch/2 MPU/ASIC M1 ½ Pitch = MPU/ASIC M1 Pitch/2 Metal Pitch 8-16 Lines Typical flash Un-contacted Poly Typical DRAM/MPU/ASIC Metal Bit Line Source: 2005 ITRS - Exec. Summary Fig 2 14

15 生産開始年の定義 ( 最初の 2 社の量産開始時期 : 2011 年版以前 ) III/V Hi-μ gate Example: Research Transfer to PIDS/FE P (96-72mo Leadtime) First Tech. Conf. Device Papers Up to ~12yrs Prior to Product -96 First Tech. Conf. Circuits Papers Up to ~ 5yrs Prior to Product Alpha Tool Development Beta Tool Mont hs Product Tool Source: 2009 ITRS - Executive Summary Fig 2b Production 1 st 2 Co s Reach Product K 20K 2K Volume (Wafers/Month) 15

16 生産開始年の定義 ( 最初の 1 社の量産開始時期へ ) Research Development Production HVM 200K 20K First Technical Conference Device Papers Up to ~12 yrs Prior to Product Transfer to PIDS/FEP (96 72 mo. Leadtime) First Technical Conference Circuits Papers Up to ~ 5 yrs Prior to Product Alpha Tool Beta Tool Pre Production Tool 2K Risk Start Production 1 st 1 2 Companies Reach Product* Volume (Wafers/Month) 96 *III/V Hi μ Channel Timing Example High Volume Manufacturing (HVM) Months Source: Semiconductor Industry Association. The International Technology Roadmap for Source: ITRS 2012 Update - Overview Fig. 1b Semiconductors, 2011 edition. SEMATECH: Albany, NY, Based on Figure 2b, Executive Summary 16

17 More Moore と More than Moore More Moore Geometrical Scaling: 幾何学的 ( 寸法の ) スケーリング Equivalent Scaling: 等価的 ( 実効的 ) スケーリング Design Equivalent Scaling: 設計による等価的微細化 More than Moore 必ずしも微細化のみによらない多様化 SiP(System in Package) 技術による異種のチップの集積化 Beyond CMOS シリコン CMOS 技術に代わる新技術 17

18 2010 ITRS Summary Figure 4 Figure 4 The Concept of Moore s Law and More More than Moore: Diversification Analog/RF Passives HV Power Sensors Actuators Biochips More Moore: Miniaturization Baseline CMOS: CPU, Memory, Logic 130nm 90nm 65nm 45nm 32nm 22nm 16 nm.. V Information Processing Digital content System-on-chip (SoC) Interacting with people and environment Non-digital content System-in-package (SiP) Combining SoC and SiP: Higher Value Systems Beyond CMOS 18

19 Evolution of Extended CMOS Existing technologies Source: ERD-WG/JEITA in Japan New technologies year 19

20 450 mm シリコンウェーハの導入時期 (2011 年版と 2013 年版 ( 案 ) の比較 ) Development Demonstration Production [IS]* Consortium Manufacturing HVM Alpha Tool Beta Tool Silicon is supporting development using partially patterned and processed test wafers Increasing 450mm Silicon Demand From Demonstrations Demonstrations focus on 1xnm M1 halfpitch capable tools IDM/ Foundry Pilot Line BetaTools 2011 年版 IDM and Foundry Pilot Lines [WAS] IDM/Foundry Pilot Line Pre Production Tools Set Ready* HVM Production[WAS] Risk Start Production[WAS] [IS] Pilot Production Ready** Risk Start Production[IS] HVM Production[IS] 2013 年版 ( 案 ) Volume (Wafers/Month) High Volume Manufacturing (HVM) *Consortium Position Regarding 450mm Production: Development by Suppliers is under way with support coordinated by 450mm consortium and the target to demonstrate a 450mm tool set ready for pilot operations by the end of 2014 IC Makers are driving commercial pilot line** and HVM timing based on business considerations and tool readiness. ** Years 年 : パイロットライン 2018 年 : 大量生産開始 Source: ITRS 2012 Update - Overview Fig. 4 and Fig ITRS Proposal [IS]** : Pilot Lines [**Source: Public Announcements, Sep 12] [IS]** 2018: High Volume (HVM) Production 20

21 ITRS の編集プロセスの見直しについて SIA( 米国 ) の提案を IRC で議論 ITRSの文書量が多すぎてわかりにくい より簡潔な文書と表に改訂 専門家向けの詳細情報はリンク先に置く ITRSの全面改訂の頻度を2 年ごとから3 年ごとに変更 次の全面改訂版は3 年後の2016 年版 会議開催頻度を年 3 回から年 2 回に下げる ITRS 2013 年版は 2014 年の 3 月末から 4 月にかけて ITRS のホームページ上で公開 21

22 ITRS 2011 年版 : 専門家向きだが 非専門家には文書量が多すぎる ITRS Executive Summary, ORTC, and Glossary ~70 pages ITWG Chapters ~900 pages ITWG Tables Details 22

23 ITRS 2013 年版 : 簡潔な版に ITRS Executive Summary ~10 pages ITWG Chapter Summaries ~ pages ITWG Tables Summaries 表の行数と列数も少なく 23

24 ITRS 2013 年版 : 詳細情報は Link 先に ITRS Executive Summary ~10 pages Links ITRS Overview, ORTC Details, and Glossary ~70 pages ITWG Chapter Summaries ~ pages Links ITWG Chapters Details ITWG Tables Summaries 表の行数と列数も少なく Links ~900 pages ITWG Tables Details 24

25 今後の ITRS の会議開催地開催頻度を年 3 回から年 2 回へ 日本開催は 2017 年と 2021 年の後半 ( 秋は冬 ) g p p H1 H Germany Korea Europe 2015 US Taiwan Asia 2016 Netherlands US US 2017 France Japan 2018 US Korea 2019 Germany US 2020 Netherlands Taiwan 2021 US Japan 2022 France US 25

26 関連 web サイトの URL さらに詳しい資料については下記を参照願います ITRS の公式ホームページ ITRS の最新情報 ITRS 発行の白書 (White Papers) ITRS 主催の Conference などの資料 JEITA のロードマップのホームページ ITRS 2011 年版の日本語訳 ( 過去の版の和訳もあり ) ITRS の過去の版 ( 英文 ) へのリンク STRJ( 半導体技術ロードマップ専門委員会 ) の活動情報 26

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

untitled

untitled 1-1 1.CMOS 技術の最前線 国際半導体技術ロードマップから見た CMOS 技術動向 Trends in CMOS Technology Based on ITRS 2011 Edition 石内秀美 ITRS( 国際半導体技術ロードマップ ) は, 世界 5 極 ( 欧州, 日本, 韓国, 台湾, 米国 ) の半導体工業会 (ESIA,JEI- TA,KSIA,TSIA,SIA) がスポンサーとなって,

More information

スライド 1

スライド 1 半導体ロードマップの 過去 現在 未来 JEITA 半導体技術ロードマップ委員会 (STRJ) 委員長 石内秀美 ( ( 株 ) 東芝 ) 本講演は ITRS でまとめた技術ロードマップについて説明したもので ITRS 参加企業 団体 JEITA 会員企業の個別の製品や技術開発の方向について説明したものではありません Work in Progress - Do not publish 1 Work

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

INTERNATIONAL

INTERNATIONAL 国際半導体技術ロードマップ 2011 年版概要 INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2011 EDITION EXECUTIVE SUMMARY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション WG3 Front-End Processes(FEP) 新材料 新構造の導入を支える FEP 技術 水島一郎 ( 東芝 ) 内容 STRJ FEP のメンバー スコープ 今年度の活動 新材料 新構造の導入と FEP 技術 Si ウェーハ 450mm 化状況 まとめ 略号 FeRAM: Ferroelectric Random Access Memory HP: High Performance /

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

Spansion_Corporate_Presentation

Spansion_Corporate_Presentation 世界の半導体動向と 生き残りをかけた日本の半導体 株式会社スパンション イノベイツ デザイン代表取締役社長兼スパンション イノベイツ株式会社技術本部長代理独古康昭 1.Oct. 2013 1 2013 SIDL. 世界の半導体半導体動向 2 2013 SIDL. 半導体会社構造 Business R&D Products Customer Strength Weakness Model Fabless

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

スライド 1

スライド 1 モデリング & シミュレーションの 現状と経済的効果 モデリング / シミュレーション WG (WG10) 佐藤成生青木伸俊 國清辰也 木村光紀 泉直希 麻多進 海本博之 林洋一 藤原秀二 西尾修 中村光利 小方誠司 和田哲典 佐野伸行 三浦道子 大野隆央 谷口研二 小谷教彦 STRJ WS: March 6, 2009, WG10 1 構成メンバー 氏名組織役割主担当領域 佐藤成生 富士通マイクロエレクトロニクス主査

More information

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術

半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 1 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術 半導体産業 技術開発の経済性とロードマップ 2002 年度 STRJ ワークショップ 3 月 3 日 青山フロラシオン STRJ 委員長 増原利明 半導体産業とロードマップの歴史 2 ロードマップの予測するコスト増大要因 3 経済性を考えた半導体技術ロードマップとは 4 まとめ 半導体産業 技術開発の経済性とロードマップ 2003 年 3 月 3 日 STRJ ワークショップ 0 過去 40 年の

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

Taro12-イノベ-ション経営研究会

Taro12-イノベ-ション経営研究会 1 3 4 25. 31 46 54 63 63 71 79 90 BP 101 112 126 135 10 1990 21 1970 80 Made In America 80 90 1987 52 93 98-1 - (1) (2) (3) (4) - 2 - - 3 - ( 1980 1990 1990 10 21 PHP 1998. - 4 - 80 1976~1980 1987 52 1988

More information

Slide 1

Slide 1 INTEL プロセッサの 技術ロードマップ 2014 年 7 月 目次 Pentium から Ivy Bridge までの Intel の製品ライン 100 nm ノード超 (Gate-First) サブ 100 nm ノード : 90 nm および 65 nm (Gate-First) 45 nm 32nm および 22nm (Gate-Last 高誘電 メタルゲート ) 技術ノード 関連パラメータコンタクテッドゲートピッチ

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

PMI2005北米大会報告書

PMI2005北米大会報告書 2 3 Metro Toronto Convention Center Toronto, Canada PMI PMI SIG Meeting Paper Presentation PM PM PMI 4 5 8-15 Sep. 2005, Metro Toronto Convention Center, Toronto, Canada 6 7 CEO PM 180,000 PMI BMW PMI

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 64 No. 2 Nov. 2018 Optical Disc Archiving System with 100 Years Lifespan of Digital Data Takuto Yamazaki Yasushi Kobayashi Blu-ray Disc 1 Archival Disc 2 3300 GB 10012

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード]

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード] 半導体メモリが新応用を開拓した例 集積デバイス工学半導体メモリ 2010 年 5 月 14 日東京大学大学院工学系研究科電気系工学竹内健 E-mail : takeuchi@lsi.t.u-tokyo.ac.jp http://www.lsi.t.u-tokyo.ac.jp p y jp アップル社の ipod nano 2005 年 9 月発売 フラッシュメモリの記憶容量によって価格の異なるラインアップ

More information

植物23巻2号

植物23巻2号 (J.SHITA)23(2):37-43. 2011. 37 520-0531 1-13-15 Operation Should be Automated and not so in Plant Factory for Leafy Vegetables Touichi OGURA Goodgoal Inc, 1-13-15 Suimei Otsu-shi, Shiga 520-0531, Japan

More information

Microsoft PowerPoint - (3)パネル_中屋フェロー_SP

Microsoft PowerPoint - (3)パネル_中屋フェロー_SP 平成 27 年度 TSC Foresight セミナー ( 第 3 回 ) < 第 3 部パネルディスカッション > 人工知能 ロボットと電子 情報技術が織り成す新たな社会像 - コンピューティング技術 IoT 技術の進展による新たな産業革命ー 国立研究開発法人新エネルギー 産業技術総合開発機構 技術戦略研究センターフェロー 2015 年 11 月 12 日 中屋雅夫 パネリスト モデレータ パネリスト

More information

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055 1 1 1 2 DCRA 1. 1.1 1) 1 Tactile Interface with Air Jets for Floating Images Aya Higuchi, 1 Nomin, 1 Sandor Markon 1 and Satoshi Maekawa 2 The new optical device DCRA can display floating images in free

More information

Microsoft PowerPoint - semi_ppt07.ppt

Microsoft PowerPoint - semi_ppt07.ppt 半導体工学第 9 回目 / OKM 1 MOSFET の動作原理 しきい電圧 (V( TH) と制御 E 型と D 型 0 次近似によるドレイン電流解析 半導体工学第 9 回目 / OKM 2 電子のエネルギーバンド図での考察 金属 (M) 酸化膜 (O) シリコン (S) 熱平衡でフラットバンド 伝導帯 E c 電子エネルギ シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない

More information

日立評論2008年1月号 : 基盤技術製品

日立評論2008年1月号 : 基盤技術製品 Infrastructure Technology / Products HIGHLIGHTS 2008 HDD 2.5 HDD3.5 HDD 1 Deskstar 7K1000 HDD Hard Disk Drive 2006 5 PC 2.5 HDD HDD 3.5 HDD1 1 2007 3Deskstar 7K1000 3.5 HDD 1149 Deskstar 7K500 2 GMR Giant

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

記者発表開催について

記者発表開催について 2014 年 6 月 4 日 東京工業大学広報センター長大谷清 300mm ウエハーを厚さ 4µm に超薄化 -DRAM で検証 超小型大規模三次元メモリーに威力 - 概要 東京工業大学異種機能集積研究センターの大場隆之特任教授は ディスコ 富士通研究所 PEZY Computing( ペジーコンピューティング 東京都千代田区 ) WOW アライアンス ( 用語 1) と共同で 半導体メモリー (DRAM)

More information

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 1 2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 CPU 1 1 2 2 n CPU SRAM DRAM CPU 3 4 5 6 7 N+ N+ P SRAM DRAM 8 Computer Architecture 9 DRAM 3 4 10 11 Ta 2

More information

R927清水信彦様.indd

R927清水信彦様.indd Special Issue CFRP 455-8502 9 1 Development Status of Carbon Fiber Reinforced Plastics Nobuhiko SHIMIZU Automotive Center, Toray Industries, Inc., 9-1 Oe-cho, Minato-ku, Nagoya, Aichi 455-8502 Received

More information

Safety Performance of Steel Deck Plate (Flat Decks) Used for Concrete Slab Moulding CONTENTS 1. Introduction ---------------------------------------------------------------- (2) 2. Flat Decks ------------------------------------------------------------------

More information

Introduction to Microfabrication

Introduction to Microfabrication 2005 Introduction to Microfabrication 1 1.1 Microfabrication disciplines Microfabrication technologies IC industry and related industries MEMS, solar cells, flat-panel displays, optelectronics In-plane

More information

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO

13 EUVA EUV EUVLL (NEDO) EUV (EUVA) 10 EUVA EUV W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC EUVA NEDO 13 EUVA EUV EUVLL 2002 6 (NEDO) EUV (EUVA) 10 EUVA 2002 2005 EUV 2007 2 2005 1050W EUV EUV LPP EUV DPP EUVA 2 Selete 26nm IMEC 1 2008 2010 EUVA NEDO EUVA EUV 2006 Selete EUVA 1. EUVA 436nm 365nm 1/17 KrF

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

Vol. 48 No. 3 Mar PM PM PMBOK PM PM PM PM PM A Proposal and Its Demonstration of Developing System for Project Managers through University-Indus

Vol. 48 No. 3 Mar PM PM PMBOK PM PM PM PM PM A Proposal and Its Demonstration of Developing System for Project Managers through University-Indus Vol. 48 No. 3 Mar. 2007 PM PM PMBOK PM PM PM PM PM A Proposal and Its Demonstration of Developing System for Project Managers through University-Industry Collaboration Yoshiaki Matsuzawa and Hajime Ohiwa

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

J. Jpn. Inst. Electron. Packaging 19(1): (2016)

J. Jpn. Inst. Electron. Packaging 19(1): (2016) 特特集 / エレクトロニクス実装技術の現状と展望 半導体システムインテグレーション技術における とその将来展望 FO-WLP in Semiconductor System Integration Technology and Its Future Prospects システムインテグレーション実装技術委員会 集 はじめに 1),2) MEMS (Micro Electro-Mechanical System)

More information

6 4 4 9RERE6RE 5 5 6 7 8 9 4 5 6 4 4 5 6 8 4 46 5 7 54 58 60 6 69 7 8 0 9 9 79 0 4 0 0 4 4 60 6 9 4 6 46 5 4 4 5 4 4 7 44 44 6 44 8 44 46 44 44 4 44 0 4 4 5 4 8 6 0 4 0 4 4 5 45 4 5 50 4 58 60 57 54

More information

システムの政府調達に関する日米内外価格差調査

システムの政府調達に関する日米内外価格差調査 Table of Contents Executive Summary... 10 Methodology... 12... 13 PC... 13... 15... 17... 17... 18... 19 IT... 22 IT... 22 IT... 24 IT... 26 2001... 26 2002 IT... 33... 36... 36 List of Tables Table Page

More information

1 1980 5, 2008; Shih, Wang, & Wei, 2007 6 1,342 ha 2009 8,835 2003 1,677 ha 2009 2,412 1995 1,613 ha 2009 4,611 2 1 1990 200 1990, 2008 2 IC 2 2009 IC

1 1980 5, 2008; Shih, Wang, & Wei, 2007 6 1,342 ha 2009 8,835 2003 1,677 ha 2009 2,412 1995 1,613 ha 2009 4,611 2 1 1990 200 1990, 2008 2 IC 2 2009 IC ISSN 1347-4448 ISSN 1348-5504 10 3 2011 3 E-mail: kishimoto@icsead.or.jp 100 200 1, 2000 1990 Acer IC Integrated Circuit TSMC IC MediaTek AUO 2011 Global Business Research Center www.gbrc.jp 179 2010 8

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

untitled

untitled MMRC DISCUSSION PAPER SERIES MMRC-J-7 COE 2004 3 COE E-mail: miyazaki@gbrc.jp 2004 3 ( ) (, 2003; Ulrich, 1995) (2002) 1 Langlois and Robertson (1992) (2001) (Baldwin & Clark, 2000; Sanchez, 2000; Sanchez

More information

J. Jpn. Inst. Electron. Packaging 17(4): (2014)

J. Jpn. Inst. Electron. Packaging 17(4): (2014) 一般社団法人エレクトロニクス実装学会 第 3 回定時総会報告 1. 26 5 23 14 00 15 00 2. 167-0042 3-12-2 3. 2,230 2,230 4. 1,140 37 1,103 1,140 5. 6. 1 25 2 25 3 26 4 26 5 7. 11 15 2 TDK 1 25 25 2 25 25 25 4 1 26 3 31 23 2 3 26 26 4

More information

スライド 1

スライド 1 WG6(PIDS 及び RF&AMS) 活動報告 ロジックおよびメモリデバイスの スケーリングトレンド ~FinFET で大きく変わるロジックトレンド STRJ WS 2013 2014 年 3 月 7 日品川 : コクヨホール WG6 6 主査 : 尾田秀一 ( ルネサスエレクトロニクス ) 1 用語集 PIDS (Process Integration, Devices, and Structures)

More information

PIDS 委員 杉井寿博 ( リータ ー 富士通 ) 井上靖朗 ( サフ リータ ー 三菱 ) 井田次郎 ( 幹事 沖 ) 長島直樹 ( ソニー ) 只木芳隆 ( 日立 ) 麻殖生健二 ( 日立 ) 笠井直記 (Selete) 平本俊郎 ( 東京大学 ) 芝原健太郎 ( 広島大学 ) 澤田静雄 (

PIDS 委員 杉井寿博 ( リータ ー 富士通 ) 井上靖朗 ( サフ リータ ー 三菱 ) 井田次郎 ( 幹事 沖 ) 長島直樹 ( ソニー ) 只木芳隆 ( 日立 ) 麻殖生健二 ( 日立 ) 笠井直記 (Selete) 平本俊郎 ( 東京大学 ) 芝原健太郎 ( 広島大学 ) 澤田静雄 ( ロードマップの課題と今後のスケーリングについて [ 内容 ] 1. ロードマップ関連の課題 2. 今後のスケーリングについての調査 3. 新探求デバイスについて PIDS (Process Integration & Device Structures) WG 杉井 ( 富士通 ) 平本 ( 東京大学 ) PIDS 委員 杉井寿博 ( リータ ー 富士通 ) 井上靖朗 ( サフ リータ ー 三菱 )

More information

プロダクトガイド・表1

プロダクトガイド・表1 ystem S o l u t i o n s Storage Solutions HP Solutions e-business Solutions Mechanical Computer-Aided Design Authoring Software to Create Better Product Documentation Contents Secure File Transfer Solution

More information

特-3.indd

特-3.indd Development of Automation Technology for Precision Finishing Works Employing a Robot Arm There is demand for the automation of finishing processes that require technical skills in the manufacturing of

More information

179Ł\”ƒ

179Ł\”ƒ 2001 6 BGA/CSPSIP Series http://www.rlz.co.jp No.179 C o n t e n t s Feature... 2 Special Issue BGA/CSPSIP... 4... 8... 12, *,, * Series 1... 17 Challenge of Intelligence for Future BREAK THROUGH 2001.6

More information

スライド 1

スライド 1 Work in Progress - Do not publish STRJ WS: March 4, 2011, WG3 FEP 1 STRJ WG3(FEP) 活動報告 - 今後の FEP 技術 - 2011 年 3 月 4 日 北島洋 ( ルネサスエレクトロニクス ) Work in Progress - Do not publish STRJ WS: March 4, 2011, WG3 FEP

More information

Microsoft PowerPoint - 隅谷様(パナソニック).ppt [互換モード]

Microsoft PowerPoint - 隅谷様(パナソニック).ppt [互換モード] SOC の低消費電力設計技術の 課題と解決策 - 設計生産性向上との両立に向けて- 2010 年 1 月 29 日 JEITA 半導体技術ロードマップ専門委員会 (STRJ) 設計ワーキンググループ (WG1) 委員 パナソニック ( 株 ) セミコンダクター社 隅谷三喜夫 発表内容 ITRS と STRJ STRJ WG1 のミッション メンバー 活動史 SOC の低消費電力設計技術の課題と解決策

More information

SEISMIC HAZARD ESTIMATION BASED ON ACTIVE FAULT DATA AND HISTORICAL EARTHQUAKE DATA By Hiroyuki KAMEDA and Toshihiko OKUMURA A method is presented for using historical earthquake data and active fault

More information

6 4 45 7ZS 5 59 7 8 94 05 4 5 6 4 5 5 6 8 8 40 45 48 56 60 64 66 66 68 7 78 80 8 7 8 0 0 0 90 0 57 64 69 66 66 69 0 4 4 4 4 4 0 7 48 5 4 4 5 4 4 4 7 46 46 6 46 8 46 48 46 46 4 46 46 4 4 5 4 6 4 9 9 0

More information

DIGITAL IMAGE INNOVATION Colorio me p4 LP-9000C p6 TV LIVINGSTATION p8

DIGITAL IMAGE INNOVATION Colorio me p4 LP-9000C p6 TV LIVINGSTATION p8 2003 2003 4 1 2004 3 31 DIGITAL IMAGE INNOVATION Colorio me p4 LP-9000C p6 TV LIVINGSTATION p8 ........................................... 1!!!............................. 4.............. 4 LP-9000C......

More information

Microsoft PowerPoint - 集積デバイス工学2.ppt

Microsoft PowerPoint - 集積デバイス工学2.ppt チップレイアウトパターン ( 全体例 ) 集積デバイス工学 () LSI の製造プロセス VLSI センター藤野毅 MOS トランジスタの基本構造 MOS トランジスタの基本構造 絶縁膜 絶縁膜 p 型シリコン 断面図 n 型シリコン p 型シリコン 断面図 n 型シリコン 破断面 破断面 トランジスタゲート幅 W 平面図 4 トランジスタゲート長 L 平面図 MOS トランジスタ (Tr) の構造

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

特-7.indd

特-7.indd Mechanical Properties and Weldability of Turbine Impeller Materials for High Temperature Exhaust Gas Turbocharger 1 000 1 050 246 IN100 The increase in environmental awareness in recent years has led to

More information

J. Jpn. Inst. Light Met. 65(6): 224-228 (2015)

J. Jpn. Inst. Light Met. 65(6): 224-228 (2015) 65 62015 224 228 ** Journal of The Japan Institute of Light Metals, Vol. 65, No. 6 (2015), 224 228 2015 The Japan Institute of Light Metals Investigation of heat flow behavior on die-casting core pin with

More information

資料1-3

資料1-3 WPT (2017) ( ) *JST Center of Innovation ( 13- ) Last 5X * 16 8, 15 7, 14 6 METLAB 16 20, 15 18 WPT * IEEE MTTS Wireless Power Transfer Conference ( 11-, ) MTTS TC-26 (Wireless Energy Transfer and Conversion

More information

技術創造の社会的条件

技術創造の社会的条件 1999 10 21 21 i ... 1 1... 3 1-1. 20...3 1900 1945 3 1945 198x 4 198x 1999 5 1-2....7 1945 198x 7 HEMT 8 198x 1999 9 9 1-3....11 11 12 13 18 2 New Institutions... 21 2-1....21 22 24 26 2-2....27 28 29

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合(

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 理事長 : 豊木則行 / 以下 LEAP と略記 ) と国立大学法人東京大学は このたび マイコン等に使われる論理集積回路の大幅な省エネ化を可能とする

More information

Nikon 2001ar

Nikon 2001ar Innovations in precision and optical technologies 2001 1 2 5 13 13 16 18 19 20 21 35 36 37 38 39 01 483,957 00 371,801 99 305,765 98 372,146 97 379,089 01 20,911 00 7,770 (18,233) 98 8,318 97 19,936 01

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

スライド 1

スライド 1 Asian Inter-regional Professional Securities Market 200761 NIRA 20006 2002/6 2006/5 2009/1 2003/4 CP 2006/1 2007/1 2004/5 DVP 9/11 T+1 T+3 Customer First, Information Technology, Global Standard, Contestability

More information

SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod

SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod Encore SSIS SSIS9 8 101 1 SEAJ TVDVD i-pod 1970 198030 9020 90 80 10 1980 1990PC 2000 10 ABS ETC ECO 102 40 10GIPS 24 MCU 90nm 65nm 1990 21 1990 200mm501000 300mm 3200mm7 3,500 450mm 8,000 200mm16 1990

More information

AND9137JP - SO8FLパッケージ用ユニバーサル・フットプリント

AND9137JP - SO8FLパッケージ用ユニバーサル・フットプリント DC DC DFN QFN LFPAK 5 6mm SO8Fl QFN Power-SO8 DPAK SO8IC Figure 1 Figure 1. The Underside of an SO8FL Package APPLICATION NOTE PCB PCB Figure 2 LFPAK PCB SO8IC DPAK Figure 2 Semiconductor Components Industries,

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

技術調査レポート(セット版)0318

技術調査レポート(セット版)0318 1 MEMS MEMS(Micro Electro Mechanical Systems) MEMS MEMS 1990 MEMS MEMS 2 MEMS MEMS MEMS mm ( ) MEMS MST 1mm 1m Ball Semiconductor Inc. IC [2] IC [2] Si 3 MEMS 2.1 MEMS DNA MEMS 80 MEMS ABS 2.0mm 15mm 4

More information

SEIKO EPSON CORPORATION

SEIKO EPSON CORPORATION 2004 Digital Image Innovation SEIKO EPSON CORPORATION SEIKO EPSON CORPORATION 1 2 SEIKO EPSON CORPORATION TFT 1 Print Image Matching SEIKO EPSON CORPORATION 3 4 SEIKO EPSON CORPORATION 15 10 10 9 9 18

More information

untitled

untitled 0 3 6 2 1 5 8 3 2 5 inquiry@j-drive-com.jp 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 20% 80% 2005 1999 2002 90% 10% 92% 94% 77% 66% 66% 65% 60% 59% 61% CAD 48% 0% 10% 20% 30% 40% 50% 60% 70% 80% 90%

More information

スライド 1

スライド 1 H29 年度群馬大学電気電子工学特別講義 Ⅱ 集積電子回路工学 第 339 回アナログ集積回路研究会講演 2017 年版 第 4 回 半導体技術の概要と動向 2017.10.24 群馬大学非常勤講師東京電機大学非常勤講師中谷隆之 1) 概要 2) 高集積化技術動向 MPU DRAM NAND の高集積化推移 3) 微細化技術動向 ITRS ロードマップ ITRS における寸法定義 スケーリング則微細化に伴う高性能化技術

More information

CONTENTS New LS Press Information History of LS LS FROM THE CHIEF ENGINEER FROM THE PROJECT CHIEF DESI

CONTENTS New LS Press Information History of LS LS FROM THE CHIEF ENGINEER FROM THE PROJECT CHIEF DESI LS Press Information 2017 CONTENTS New LS Press Information 02 04 05 06 13 15 19 25 27 31 35 47 49 53 57 58 History of LS LS FROM THE CHIEF ENGINEER FROM THE PROJECT CHIEF DESIGNER EXTERIOR DESIGN INTERIOR

More information

南原

南原 The Foreign Trade and Navigation of the Port of Bangkok, The Foreign Trade and Navigation of the Kingdom of Siam, Annual Statement of the Foreign Trade and Navigation of the Kingdom of Siam The Foreign

More information

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt シリコンナノワイヤ pfet における正孔移動度 平本俊郎陳杰智, 更屋拓哉東京大学生産技術研究所 hiramoto@nano.iis.u-tokyo.ac.jp 1. ナノワイヤトランジスタの位置付け 2. ナノワイヤ FET の移動度測定 3. ナノワイヤ nfet と pfet の移動度 4. まとめ 本研究の一部は,NEDO のプロジェクト ナノエレクトロニクス半導体材利用 新構造なの電子デバイス技術開発

More information

3次元LSI集積化技術

3次元LSI集積化技術 3 LSI 3D LSI Integration Technology あらまし LSI 33DI LSI Si TSV Wafer on Wafer WOW 3 45 nm CMOS LSI FeRAM 10 m 200 3 LSI Abstract The conventional enhancement of LSIs based on Moore s Law is approaching its

More information

Microsoft PowerPoint - semi_ppt07.ppt [互換モード]

Microsoft PowerPoint - semi_ppt07.ppt [互換モード] 1 MOSFETの動作原理 しきい電圧 (V TH ) と制御 E 型とD 型 0 次近似によるドレイン電流解析 2 電子のエネルギーバンド図での考察 理想 MOS 構造の仮定 : シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない 金属 (M) 酸化膜 (O) シリコン (S) 電子エ金属 酸化膜 シリコン (M) (O) (S) フラットバンド ネルギー熱平衡で 伝導帯 E

More information

Microsoft PowerPoint - STA2016_01.ppt

Microsoft PowerPoint - STA2016_01.ppt グラフでみる半導体産業 統計資料室 2016 年版 2016 SSIS ここで示すデータおよび図表は 個々の頁に記載されている出典元のデータ等を参考に 半導体産業人協会 が作成したものです 掲載されている内容を 当協会に無断で複写 転載することを禁じます 目次 世界半導体市場 拡大を続ける世界の半導体市場 --------------------------- 3 製品別にみる世界の半導体市場 ---------------------------

More information

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70

c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 Encore SSIS 10 c c SSIS10 10 10 1998 2001 SSIS 2001 LSI 2001 MIRAI NECASKA SELETE 21 5ISSCC LSI 2004 2004SSIS PR 60 70 SSIS NOSIDE PR SSIS SSIS PR 2000 5SSIS SSIS 1 2001 5 8 3 2004 SSIS 1 2 SSIS 24 SSISPR

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

6 4 45 ZS7ZS4ZS 5 59 7 8 94 05 4 5 6 4 5 5 6 8 8 40 45 48 56 60 64 66 66 68 7 78 80 8 7 8 0 0 0 90 0 0 4 4 4 4 6 57 64 69 66 66 66 69 4 0 7 48 5 4 4 5 4 4 4 7 46 46 6 46 8 46 48 46 46 4 46 46 4 4 5 4

More information

2

2 8 23 26A800032A8000 31 37 42 51 2 3 23 37 10 11 51 4 26 7 28 7 8 7 9 8 5 6 7 9 8 17 7 7 7 37 10 13 12 23 21 21 8 53 8 8 8 8 1 2 3 17 11 51 51 18 23 29 69 30 39 22 22 22 22 21 56 8 9 12 53 12 56 43 35 27

More information

2

2 8 22 19A800022A8000 30 37 42 49 2 3 22 37 10 11 49 4 24 27 7 49 7 8 7 9 8 5 6 7 9 8 16 7 7 7 37 10 11 20 22 20 20 8 51 8 8 9 17 1 2 3 16 11 49 49 17 22 28 48 29 33 21 21 21 21 20 8 10 9 28 9 53 37 36 25

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

Hitachi Field Matching Hitachi Recruiting My Page Hit

Hitachi Field Matching Hitachi Recruiting My Page Hit 01 02 03 Hitachi Field Matching 008 010 020 026 030 036 038 040 046 050 052 062 064 066 Hitachi Recruiting My Page 068 070 072 074 076 080 082 002 Hitachi Field Navigator Hitachi Field Navigator 003 BUSINESS

More information

19_22_26R9000操作編ブック.indb

19_22_26R9000操作編ブック.indb 8 19R900022R900026R9000 25 34 44 57 67 2 3 4 10 37 45 45 18 11 67 25 34 39 26 32 43 7 67 7 8 7 9 8 5 7 9 21 18 19 8 8 70 8 19 7 7 7 45 10 47 47 12 47 11 47 36 47 47 36 47 47 24 35 8 8 23 12 25 23 OPEN

More information

303 Human Factors in Nuclear Power Plant with Focus on Application of Man-machine Interface and Advanced Data Processing Technologies to Nuclear Power Industry Abstract We discuss issues involved in a

More information

Abstract 1 1 2 Abstract Fig. 1 Fig. 2 Fig. 3 Abstract 1 2 3 4 5 6 7 8 10 9 Abstract 1 1 2 3 4 5 6 7 8 9 Abstract 1 2 3 4 Abstract 1 1 2 2 3 4 5 6 3 7 8 9 4 Abstract 1 2 3 4 5 6 7 8 9 10

More information

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7 電気電子工学専攻 54001 電磁波特論 2-0-0 電気電子コース EEE.S401 電気電子工学専攻 54002 無線通信工学 2-0-0 電気電子コース EEE.S451 Advanced Electromagnetic Waves ( 電磁波特論 ) Wireless Communication Engineering ( 無線通信工学 ) 旧電磁波特論あるいは旧 Advanced Electromagnetic

More information

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

T O H O K U U N I V E R S I T Y 16152005.2 C O N T E N T S HASANUDIN ABDURAKHMAN FEUERSTEIN MARIO ANDREAS The Institute of Physics Smart Materials and Structures DRAM MOS nm International

More information

YMCA OUTLINE 1 2

YMCA OUTLINE 1 2 - - wada@ie.u-ryukyu.ac.jp http://bw-www.ie.u-ryukyu.ac.jp/~wada http://www.magnadesignnet.com LSI SRAM 3 Pentium Cache 3 LSI H/W Cache LSI YMCA OUTLINE 1 2 2002 718 LSI 13 12 12 12 21 14 Organization

More information

Microsoft Word - 新規Microsoft Office Word 文書.docx

Microsoft Word - 新規Microsoft Office Word 文書.docx ( ) ENTRAN ENTRAN / DRAM 2 3 () 4 (off chip) MOS Tr 5 6 / SSD / Ⅲ. 研究開発成果 3.3 研究開発項目毎の成果 2 不揮発アーキテクチャの研究開発 不揮発アーキテクチャの研究開発 実施者 : 中央大学, エルピーダメモリ (20 年度のみ ) 目的 研究開発項目 で得られたメモリを用い 現行のアーキテクチャの消費電力に対し実質上 /

More information

5 7 3AS40AS 33 38 45 54 3 4 5 4 9 9 34 5 5 38 6 8 5 8 39 8 78 0 9 0 4 3 6 4 8 3 4 5 9 5 6 44 5 38 55 4 4 4 4 5 33 3 3 43 6 6 5 6 7 3 6 0 8 3 34 37 /78903 4 0 0 4 04 6 06 8 08 /7 AM 9:3 5 05 7 07 AM 9

More information

2

2 8 23 32A950S 30 38 43 52 2 3 23 40 10 33 33 11 52 4 52 7 28 26 7 8 8 18 5 6 7 9 8 17 7 7 7 38 10 12 9 23 22 22 8 53 8 8 8 8 1 2 3 17 11 52 52 19 23 29 71 29 41 55 22 22 22 22 22 55 8 18 31 9 9 54 71 44

More information

43 2000 119 130 3 000 3 000 200 250 25 5 1969 43 2000 1967 1968 1997 3 43 2000 3 12 3 0003 000 2 250 25 5 43 2000 1969 1967 1968 1997 43 2000 12 20 12 8 1936 1961 1967 1970 1996 1987 1970 1970 1970 1967

More information