PowerPoint Presentation

Size: px
Start display at page:

Download "PowerPoint Presentation"

Transcription

1 耐タンパー性暗号 LSI の設計技術 本間尚文 東北大学電気通信研究所 1

2 本日の内容 サイドチャネル攻撃とその対策 秘密分散法に基づくゲートレベル対策技術 攻撃センサに基づく回路レベル対策技術 まとめ 2

3 スマート社会における新たな脅威 新しい情報社会の概念により LSI システムを対象とする攻撃は人命 財産 インフラの重大な脅威になる Source: IEEE Spectrum

4 暗号 LSI 暗号 LSI( 暗号アルゴリズムを SW/HW で搭載した LSI) は情報セキュリティ実現の基盤技術 次世代 ICT 基盤による更なる用途 重要性の拡大

5 暗号 LSI に対する物理攻撃 暗号モジュールへの物理的アクセスに基づく攻撃 暗号アルゴリズム設計段階で考慮できない攻撃 : 認証を得たアルゴリズムでも解読される可能性 送信者 暗号鍵 暗号 LSI 復号鍵 受信者 平文 暗号アルゴリズム 暗号文 復号アルゴリズム 盗聴改ざん盗聴改ざん盗聴改ざん 攻撃者 平文 5

6 さまざまな物理攻撃 平文 暗号文 非正規入力 ( 故障注入攻撃 ) 周波数 電圧変動クロックグリッチ電磁波干渉 暗号 LSI 破壊攻撃 回路パターン解析配線プロービングレーザー照射 FIB サイドチャネル攻撃 非破壊攻撃 消費電力 放射電磁波 演算時間 特にサイドチャネル攻撃は現実的な脅威として注目を集める 6

7 サイドチャネル攻撃 受動的で非侵襲な攻撃 サイドチャネル情報の観測から秘密情報を収奪 非破壊 非侵襲なため検知困難 比較的安価に実現可能 パッケージ開封を伴う 半侵襲 な攻撃もあり 何がサイドチャネル情報なり得るか? 電圧 ( 電力 ) 変動 放射電磁波 演算時間 音響変化 ( キーボード情報漏えい ) 攻撃はますます高度に, 対象は IC カード端末から汎用プロセッサ クラウドサービスまで拡大 7

8 サイドチャネル攻撃の事例 ニューヨークやロンドンなどの地下鉄, オランダで使用されていた IC カードの鍵をサイドチャネル攻撃で取得 Breaking Mifare DESFire MF3ICD40(CHES, 2011) Intel AES-NI 命令セットのサイドチャネル攻撃に対する脆弱性の報告 Defend encryption systems against side-channel attacks (EDN Network, 2015) 8

9 クラウドサービスへのサイドチャネル攻撃 アマゾンクラウドサーバー (EC2) へのタイミング攻撃 * 攻撃者と対象がキャッシュを共有することを利用 物理アクセスすら必要ないサイドチャネル攻撃 FPGA を搭載したインスタンスの提供開始 (2017/4~) アタックサーフェスの拡大 *Sinan, et al. CHES,

10 アーキテクチャラルサイドチャネル攻撃 マイクロアーキテクチャに存在する脆弱性を組み合わせたサイドチャネル攻撃 ** により保護領域の読込や不正プログラムの実行が可能となる脅威 (2018/1) Spectre / Meltdown 3 種の脆弱性を利用 Intel 製 AMD 製のプロセッサに広く影響 Spectre/Meltdown で取り上げられた脆弱性 1. Bounds check bypass: 境界判定を遅延させた投機実行結果の観測 2. Branch target injection:vmを利用した分岐先への不正命令配置 3. Rogue data cache load ( 非権限領域への不正キャッシュ読込 ) キャッシュヒット ミスヒットを利用して機密データの読み込みを行う ** 10

11 サイドチャネル攻撃への対策手法 ハイディング : 中間値 (SC 情報 ) を処理に依らず一定化 S S M S M S S S M S S M S M S M S M S M 未対策の電力波形 対策を施した電力波形 マスキング : 乱数による中間値の変換 別名 : 秘密共有, 秘密分散 乱数 乱数 平文 ( 入力 ) マスク処理 暗号化処理 アンマスク処理 暗号文 ( 出力 ) サイドチャネル情報を観測されても秘密情報とは無関係 11

12 対策の適用レベル 暗号 LSI の設計階層 アルゴリズム アーキテクチャ レジスタトランスファ 論理ゲート 回路 / セル レイアウト アルゴリズムレベル ( ダミー演算等 ) アーキテクチャレベル ( バスのマスキング等 ) RTLレベル ( レジスタデータの攪拌等 ) ゲートレベル ( 相補的論理ゲート等 ) 回路 セルレベル ( 専用セルライブラリ等 ) レイアウトレベル ( 対称レイアウト等 ) 攻撃の想定が対策レベルを決定 対策の適用レベルが下位になるにつれて実装コストが増加 従来はアルゴリズムやゲートレベルの対策が一般的 12

13 本日の内容 サイドチャネル攻撃とその対策 秘密分散法に基づくゲートレベル対策技術 攻撃センサに基づく回路レベル対策技術 まとめ 13

14 攻撃モデル : d 階プロ - ビングモデル 攻撃者は d 本のプローブを用いてレジスタに格納されている値を取得可能 f 0 f 1 g 0 f 2 f 3 g 1 レジスタ 14

15 (s, s) しきい値法を用いたマスキング しきい値法 :Threshold Implementation (TI) 秘密情報 aa を加法的に分解 aa = aa 1 + aa aa ii + + aa ss シェア (aa ii ) を全て知らないと秘密情報を復元不可能 攻撃者 d 階プロービングでシェアを全て取得できれば攻撃成功 設計者 d 階プロービングでシェアを全て取得させないよう設計 ( もしくは取得できないと仮定 ) d 階プロービングから秘密情報を守るため適切な シェアの数を用いた回路構造を設計 15

16 d 階 TI の構成法 TI 回路が満たすべき 3 つのプロパティ 正当性 (Correctness) 各シェアの総和は元の秘密情報になる d 階不完全性 (d th-order non-completeness) 出力シェアを d 個どう選んでも少なくとも 1 つ のシェアが独立に存在 一様性 (Uniformity) 入出力が取りうる値の出現確率が一様 1 階不完全性を満たす回路の例 回路機能の次数に応じて入出力のシェア数が変化 16

17 1 階 TI に基づく暗号 HW の設計 共通鍵暗号 ( ブロック暗号 ) 固定長データ ( ブロック ) を単位として処理する暗号 ラウンド ( 換字 転置処理 ) を繰り返し実行 各ラウンドでは元の鍵から生成されたラウンド鍵を使用 鍵 (64 ビット ) 副鍵生成 ラウンド 1 ラウンド 15 ラウンド 16 Data Encryption Standard: DES 平文 (64ビット) 32 初期転置 F 関数 F 関数 F 関数最終転置 32 暗文 (64ビット) 鍵加算処理 XOR 演算 転置処理 配線のつなぎ換え 換字処理 組み合わせ回路やテーブル参照 17

18 AES (Advanced Encryption Standard) ISO/IEC 国際標準暗号 データサイズ :128 bits 鍵長 :128/192/256 bits ラウンド関数の構成要素は転置と換字処理 (SPN 構造 ) 10 ラウンド処理 ( 鍵長 128 ビット時 ) 換字処理にはガロア体上の演算を利用 GF(2 8 ) SW HW 実装ともに優れる S00 S10 S20 S30 S00 S10 S20 S30 S00 S10 S20 S30 S00 S10 S20 S30 S01 S02 S03 S 11 S12 S13 S'10 S' 11 S21 S22 S23 S-Box 8 8 S'00 S'20 S'01 S'02 S'03 S'12 S'13 S'21 S'22 S'23 S31 S32 S33 S'30 S'31 S'32 S'33 換字処理 S01 S02 S03 S11 S12 S13 S21 S22 S23 No Shift S00 Left Rotation by 1 Bytes S11 S31 S32 S33 Left Rotation by 3 Bytes S33 S30 転置処理 S01 S02 S03 S12 S13 S10 Left Rotation by 2 Bytes S22 S23 S20 S 01 Mix S' S02 S03 S' Column 32 S 11 S12 S13 S'10 S' 11 S 21 S 31 S22 S32 S23 S33 S01 S02 S03 S11 S12 S13 S21 S22 S23 S31 S32 S33 S'20 S'30 転置処理 k00 k10 k20 k30 Subkey k01 k02 k03 k11 k12 k13 k21 k22 k23 k31 k32 k33 鍵加算 S31 S' 21 S' 31 = S'02 S'12 S'22 S'32 S'00 S'10 S'20 S'30 S21 S32 S'03 S'13 S'23 S'33 S'01 S'02 S'03 S'11 S'12 S'13 S'21 S'22 S'23 S'31 S'32 S'33 Plaintext 128 AddRoundKey Round 1 SubBytes ShiftRows MixColumns AddRoundKey Round 9 SubBytes ShiftRows MixColumns AddRoundKey Round 10 SubBytes ShiftRows AddRoundKey 128 Plaintext 18

19 共通鍵暗号のハードウェアアーキテクチャ 代表的なハードウェアアーキテクチャ unrolled 実装 Round Function Round Function round 実装 serial 実装 S Round Function Round Function アンロールドアーキテクチャ Round Function ループアーキテクチャ ( ラウンドベース ) P バイトシリアルアーキテクチャ 19

20 1 階 TI に基づく AES ハードウェアの性能 GE 400K~ Unrolled 5,120~ bit 必要な乱数のビット数 回路面積 40K~ Roundbased 512~ bit 6K~ Byteserial 32~ bit 遅延時間 20

21 1 階 TI に基づく AES の構成要素 線形関数 (ShiftRows MixColumns) はシェア数分だけ多重化 未対策回路 ShiftRows MixColumns 非線形関数 (S-box) が性能を左右 未対策回路 S-box TI の回路構成 ShiftRows ShiftRows ShiftRows ShiftRows MixColumns MixColumns MixColumns MixColumns TI の回路構成 TI-based S-box 21

22 合成体算術に基づく AES S-box AES S-box は GF(2 8 ) 上の逆元演算器の構成が性能を左右 合成体 GF(((2 2 ) 2 ) 2 ) 上の算術演算を用いた構成が小型 高効率実装に適する 入力に同型写像を適用して合成体の元に変換 合成体上の逆元演算後に逆写像を適用 AES の体 合成体 AES の体 22

23 1 階 TI に基づく逆元演算器 合成体算術により回路機能の次数を削減 3 ステージに分解すると高効率 第 2 ステージの実装法と TI の入力シェア数の違いによるバリエーション 23

24 1 階 TI の性能オーバーヘッド Unprotected Moradi+, EC 2011 TI Bilgin+, TCAD 2015 Cnudde+, CHES 2016 Ueno+, COSADE 2017 Area [GE] 2,421 11,114 8,119 6,681 6,334 Latency Power [uw] Area- Latency product Power- Latency product Process [nm] 6.66 (0.88*) (3.14*) No data K 2,956 K 1,997 K 1,844 K 1,387 K 1, (184.80*) 6, (835.24*) * Multiplied by square of process rate No data

25 サイドチャネル攻撃耐性の実験的評価 1 階 TI に基づく AES 暗号ハードウェアを FPGA 上に実装し, 動作中の電圧変動を計測 実験装置の全体図 AES を実装した FPGA チップ ボード FPGA クロック周波数 オシロスコープ サンプリングレート 実験環境 SASEBO-G Xilinx Virtex PRO II 24MHz Tektronix DPO7254 1GS/s 計測波形数 500,000 25

26 t 検定に基づく攻撃耐性評価 ランダムな平文から 得られた消費電力波形 ある固定の平文から 得られた消費電力波形 t 検定 : 二つの波形群の平均値に有意差があるかを検定 未対策版 対策版 4.5 t 値 t 値

27 本日の内容 サイドチャネル攻撃とその対策 秘密分散法に基づくゲートレベル対策技術 攻撃センサに基づく回路レベル対策技術 まとめ 27

28 局所電磁波攻撃 局所電磁波攻撃 : マイクロ磁界プローブにより暗号 LSI の局所的な漏洩情報を正確に観測 従来対策の想定を越えた攻撃が可能 LSI 設計における最小単位 ( 標準セル メモリマクロ ) からの様々な漏洩 電流経路リーク 内部非線形ゲートリーク メモリアドレスリーク 多くの既存対策手法を無効化する可能性 アルゴリズムレベル ゲートレベルの対策ほぼ全てが無効化され得る 計測技術や計測デバイスの発達に脅威がより現実的に 28

29 既存の回路 ボードレベル対策 X Z Y Q トランジスタレベルの 対称設計 Atmel ATSHA204 LSI 上のアクティブシールディング 特殊なパッケージング 性能オーバーヘッドや製造コストの大幅な増加 より高分解能な攻撃や基板裏面からの攻撃の可能性 29

30 電磁波攻撃センサ プローブ接近による電磁界の乱れを検出 プローブと回路との電気的結合は物理法則上不可避 [ 神戸大 NAIST との共同研究 ] 電磁波攻撃センサの概念図 磁界プローブ 1/2π LC プローブ無 コイル (LC 発振器 ) f LC M Spectrum 1/2π (L-M)C 相互インダクタンス プローブ有 暗号モジュール LC Oscillation Frequency f LC 発振周波数のシフトによりプローブ ( 攻撃の兆候 ) を検知 30

31 双対センサコイルアーキテクチャ f LC1 f LC2 Frequency shift f LC1 f LC2 シフト差による検出 双対センサコイル Sensor-to-probe vertical distance 参照周波数源が不要 多様なプロービングシナリオに対応可能 異なるコイル形状 巻き数 PVT による周波数変動をディジタル校正 31

32 センサコア回路 LC Oscillator Ring Oscillator Coil L 1 x2 n n MOS Capacitor Bank LUT Clock Count #LC1 Detection #RO1 Calibration Counter f LC1 -f LC2 Control Detection sig. #LC2 Calibration Coil L 2 LUT #RO2 LC Oscillator for L 2 Ring Oscillator for L 2 LC 発信部, リング発信部, 検出部, 周波数校正部, 制御部からなる 32

33 センサの設計と実証実験 128 ビット AES プロセッサと提案センサを TSMC 0.18mm CMOS プロセスにより試作 マイクロプローブ接近による基本性能を実証 620µm モニタ /PC 770µm AES プロセッサ (1 ラウンド / サイクルループアーキテクチャ ) マイクロスコープ プローブ 治具 コイル L 1 コイル L 2 シグナルアナライザ 評価用基板 (SASEBO) センサコア 33

34 攻撃センサによる検知デモ EM Attack Sensor Demo (YouTube) 34

35 最小径プローブの検出 y position [mm] y position [mm] x position [mm] Freq. shift rate[%] Freq. shift rate[%] Probe (0.2mmφ) Sensor L1 L2 Coil Probe (0.3mmφ) Sensor Coil L1 L2 35

36 攻撃センサのオーバーヘッド AES core Sensor Total (Sensor Overhead) 2NAND Gate Count Wire Resource Layout Area 24.3k 0.3k 0.40mm mm mm mm k (+1.2%) 0.45 (+11%) 0.49mm 2 (+2%) Performance 125ms/Enc 0.3ms/Sense 125.3ms (-0.2%) Power Consumption 0.23mW 0.02mW 0.25mW (+9%) 36

37 攻撃センサの可能性と課題 プロービング攻撃全般に適用可能 プローブの接近による電磁界の乱れを μ 秒オーダで検知 従来の LSI 設計手法により容易に設計 製造可能 一般的な共通鍵暗号 HW に対して従来対策の 1/300~1/400 程度のオーバーヘッドで実現可能 双対コイルのシフト量を揃える攻撃は実現困難 今後の課題 検知可能な距離 ( 現在 :~0.3mm) の延伸 シリコン基板裏面からの攻撃は検知可能 パッケージ越しの ( 非破壊な ) 攻撃の検知は困難従来対策との相補的な適用の検討 37

38 本日の内容 サイドチャネル攻撃とその対策 秘密分散法に基づくゲートレベル対策技術 攻撃センサに基づく回路レベル対策技術 まとめ 38

39 SCA 耐性 LSI 設計の課題 どのように漏えいモデルを想定するか 漏えいモデルの想定が成り立つときのみ対策は有効 回路 計測 解析技術の進歩をどのように評価するか 計測の想定 ( 計測位置, 計測回数等 ) はしばしば現実と異なる 新たなサイドチャネル情報利用の可能性 アーキテクチャ的なデータ依存性など 効率的な耐性検証 評価手法 1000 万回の計測は本当に必要か 39

40 まとめ スマート社会の進展に伴う暗号 LSI への物理攻撃のリスクの高まり 今後従来の性能 ( 演算速度 消費電力など ) に加えて耐タンパー性という新たなシステム設計指標が求められる 想定される攻撃に応じた適切な対策が必要 秘密分散法によるマスキング対策 双対コイルセンサによる反応型対策 セキュリティ機能 ( 暗号 ) を搭載したシステム設計 実装の研究は始まったばかり 高い安全性 超スマート社会! 40

CLEFIA_ISEC発表

CLEFIA_ISEC発表 128 ビットブロック暗号 CLEFIA 白井太三 渋谷香士 秋下徹 盛合志帆 岩田哲 ソニー株式会社 名古屋大学 目次 背景 アルゴリズム仕様 設計方針 安全性評価 実装性能評価 まとめ 2 背景 AES プロジェクト開始 (1997~) から 10 年 AES プロジェクト 攻撃法の進化 代数攻撃 関連鍵攻撃 新しい攻撃法への対策 暗号設計法の進化 IC カード, RFID などのアプリケーション拡大

More information

Microsoft PowerPoint - 6-盛合--日文.ppt

Microsoft PowerPoint - 6-盛合--日文.ppt CLEFIA Sony s s Lightweight Block Cipher Shiho Moriai Sony Corporation 1 目次 ソニーにおける暗号技術 ソニーのブロック暗号 :CLEFIA 設計の背景 アルゴリズム仕様 設計方針 実装性能評価 まとめ 2 ソニーにおける暗号技術 暗号 / 情報セキュリティ技術搭載製品の増加 各種暗号アルゴリズム 著作権保護 機器認証 電子マネー

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 情報セキュリティ 第 4 回 2011 年 5 月 13 日 ( 金 ) 1/24 本日学ぶこと 使い捨てパッド DES (Data Encryption Standard) AES (Advanced Encryption Standard) ブロック暗号のモード 2 ( 復習 ) 暗号系 平文 平文 暗号化 暗号化鍵 復号鍵 復号 盗聴可能な通信路 暗号文 暗号文 3 ( 復習 ) 単一換字暗号

More information

暗号実装委員会報告(CRYPTRECシンポジウム2012)

暗号実装委員会報告(CRYPTRECシンポジウム2012) 暗号実装委員会報告 応募暗号と現リスト掲載暗号に対する実装性能評価の進行状況 1 目次 1. リスト作成の基本的な流れ 2. 評価対象 3. 体制 4. スケジュール 5. 評価方針 6. 評価内容 7. 評価結果の位置づけ ( 精度 ) 8. ソフトウェア実装性能評価 9. ハードウェア実装性能評価 10. まとめ 2 1. リスト作成までの基本的な流れ 事務局選出暗号 公募暗号技術 現リスト掲載暗号

More information

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤 統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤り 悪意のある攻撃など ) に対して 統一的な視点からディジタルLSIシステムのディペンダビリティを確保するための設計技術の確立を目指す ディペンダビリティの解析と対策回路の合成を行うEA

More information

暗号方式委員会報告(CRYPTRECシンポジウム2012)

暗号方式委員会報告(CRYPTRECシンポジウム2012) 暗号方式委員会活動報告 安全性 実装性能評価リスト入りまでの基本的な流れ 事務局選出暗号 公募暗号技術 現リスト掲載暗号 次期リスト 電子政府推奨暗号リスト 推奨候補暗号リスト 運用監視暗号リスト 現リストのカテゴリ 技術分類公開鍵暗号共通鍵暗号その他 署名守秘鍵共有 64ビットブロック暗号 128 ビットブロック暗号 ストリーム暗号 ハッシュ関数 擬似乱数生成系 現リスト : 公開鍵暗号 技術分類

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Microsoft PowerPoint - CRYPTRECシンポジウム2017(軽量WG)r1.pptx

Microsoft PowerPoint - CRYPTRECシンポジウム2017(軽量WG)r1.pptx 軽量暗号ガイドライン紹介 暗号技術評価委員会軽量暗号 WG 主査 ( 東北大学教授 ) 本間尚文 1 軽量暗号 WG 委員構成 主査 本間尚文 東北大学 委員 青木和麻呂 日本電信電話株式会社 委員 岩田哲 名古屋大学 委員 小川一人 日本放送協会 委員 小熊寿 株式会社トヨタIT 開発センター 委員 崎山一男 電気通信大学 委員 渋谷香士 ソニーグローバルマニュファクチャリング & オペレーションズ株式会社

More information

2006

2006 2006 2006 2006 (1) URL Cookie (2) Cookie (3) PDF Plone Web Content Management System Python Python Pickle ZODB Python SQL Object-Relational Mapper Web2.0 AJAX (Asynchronous Javascript XML) AJAX MochiKit

More information

Microsoft PowerPoint - 2-4_matsunaga

Microsoft PowerPoint - 2-4_matsunaga ソフトエラー対策用 EDA ツールの開発 九州大学大学院システム情報科学研究院松永裕介 設計ツールとフローの構築 安浦チーム対象範囲 ディペンダビリティアナライザ アーキテクチャ設計 RTL 設計 論理設計 ディペンダビリティエンハンサ ディペンダビリティアナライザ ディペンダビリティエンハンサディペンダビリティアナライザ ディペンダビリティエンハンサ 評価 解析 評価指標 設計変更 評価 解析 評価指標

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

スライド 1

スライド 1 暗号入門 教科書 参考書 Oded Goldreich: Foundations of Cryptography, Volume I Basic Tools, Cambridge, 2001 Oded Goldreich: Foundations of Cryptography, Volume II Basic Applications, Cambridge, 2004 J. A. ブーフマン著,

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

IPsec徹底入門

IPsec徹底入門 本資料について 本資料は下記書籍を基にして作成されたものです 文章の内容の正確さは保障できないため 正確な知識を求める方は原文を参照してください 書籍名 :IPsec 徹底入門著者 : 小早川知明発行日 :2002 年 8 月 6 日発売元 : 翔泳社 1 IPsec 徹底入門 名城大学理工学部渡邊研究室村橋孝謙 2 目次 第 1 章 IPsec アーキテクチャ 第 2 章 IPsec Security

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

今週の進捗

今週の進捗 Virtualize APIC access による APIC フック手法 立命館大学富田崇詠, 明田修平, 瀧本栄二, 毛利公一 2016/11/30 1 はじめに (1/2) マルウェアの脅威が問題となっている 2015年に4 億 3000 万以上の検体が新たに発見されている マルウェア対策にはマルウェアが持つ機能 挙動の正確な解析が重要 マルウェア動的解析システム : Alkanet 仮想計算機モニタのBitVisorの拡張機能として動作

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

発表内容 耐タンパ LSI 設計プラットフォーム ( 立命大藤野 ) 暗号処理 LSI の背景説明と耐タンパディペンダビリティー DPA 攻撃の原理と対策回路 (Domino-RSL 方式 ) 耐タンパ性能評価プラットフォーム ( 産総研佐藤 ) 暗号モジュールの安全性評価制度 攻撃評価ボード SA

発表内容 耐タンパ LSI 設計プラットフォーム ( 立命大藤野 ) 暗号処理 LSI の背景説明と耐タンパディペンダビリティー DPA 攻撃の原理と対策回路 (Domino-RSL 方式 ) 耐タンパ性能評価プラットフォーム ( 産総研佐藤 ) 暗号モジュールの安全性評価制度 攻撃評価ボード SA 平成 21 年 10 月 14 日領域会議資料 耐タンパディペンダブル VLSI シ ステムの開発 評価 ~ 人為的攻撃による内部機密情報の漏洩 複製を防止する VLSI の実現 ~ 立命館大藤野毅 福井正博 福水洋平 Ahn Tuan Hoang 産総研佐藤証 片下敏弘中央大堀洋平 今井秀樹名城大吉川雅弥 発表内容 耐タンパ LSI 設計プラットフォーム ( 立命大藤野 ) 暗号処理 LSI の背景説明と耐タンパディペンダビリティー

More information

<4D F736F F F696E74202D2091AA88CA91E589EF8D E9197BF62208E E707074>

<4D F736F F F696E74202D2091AA88CA91E589EF8D E9197BF62208E E707074> RTK-GPS/GLONASS における 受信機間 inter-channel bias の calibration とその評価 東京海洋大学山田英輝 発表内容 研究の背景と目的 バイアス較正実験概要 実験結果及び考察 RTK 測位への適用 まとめ 移動体 RTK 測位結果 ( 周波 + 瞬時 AR) Only GPS Fix solution: 5 % Float solution: 4 % NovAtel

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

040402.ユニットテスト

040402.ユニットテスト 2. ユニットテスト ユニットテスト ( 単体テスト ) ユニットテストとはユニットテストはプログラムの最小単位であるモジュールの品質をテストすることであり その目的は結合テスト前にモジュール内のエラーを発見することである テストは機能テストと構造テストの2つの観点から行う モジュールはプログラムを構成する要素であるから 単体では動作しない ドライバとスタブというテスト支援ツールを使用してテストを行う

More information

Microsoft Word - r0703.doc

Microsoft Word - r0703.doc 新開発のパケット暗号処理方式により 暗号通信を高速化世界最速の業界標準 (IPsec) 対応暗号通信 (VP) 装置を開発 ( 開発 o.0703) 007 年 月 5 日三菱電機株式会社 三菱電機株式会社 ( 執行役社長 : 下村節宏 ) は パケット 暗号通信の業界標準規格 IPsecv に準拠して あらゆるサイズのパケットを 0Gbit イーサネット 3 の設計上の最大転送速度 ( ワイヤスピード

More information

学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1

学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1 学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1 研究背景 映像機器の高機能化に伴う映像の高解像化 ーレティナディスプレイー 4K ハイビジョンテレビ 低解像度の映像コンテンツが数多く存在 4K テレビ 解像度を高める研究 ( 超解像 ) ー高速ー低コストー解像度の精度

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

スライド 1

スライド 1 第 47 回集積回路技術リテラシー研究会 2017/10/2 トリガ回路を用いた 積分型時間デジタイザ回路 佐々木優斗 小澤祐喜 小林春夫 群馬大学理工学部電子情報理工学科小林研究室学部 4 年佐々木優斗 t14304053@gunma-u.ac.jp @ 東京工業大学すずかけ台キャンパス Kobayashi Lab. Gunma University アウトライン 2/36 研究背景 従来の時間デジタイザ回路

More information

LED特性の自動計測ver3.1改.pptx

LED特性の自動計測ver3.1改.pptx LED 特性の自動計測 テキストの変更追加と実験手順の詳細が記載してあります 必ず事前に確認してから実験を始めること 2013.04.26 実験の目的 電子計測用プログラムで 測定機器を操作して 実際に経験して 電子計測を理解する データを解析する 今回の実験のあらまし LabVIEW でプログラムを作成して オシロスコープを操作して データから LED の I-V 特性 I-P 特性を解析 テキストの要約

More information

平成19年度・地球工学研究所の知的財産に関する報告会 - 資料集

平成19年度・地球工学研究所の知的財産に関する報告会 - 資料集 地盤環境モニタリングの広域化とコスト低減のための無線センサネットワークの実用化に関する検討 地球工学研究所地圏科学領域池川洋二郎 Email:ikegawa@criepi.denken.or.jp 1 背景と目的 背景 : 豪雨, 地震などによる斜面災害に対する維持管理や減災技術の適用による効果や機能をモニタリングにより評価することが重要である. 必要性 : モニタリングの広域化と, 低コスト化が可能な技術開発が望まれる.

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

パルス波高値計測回路の製作

パルス波高値計測回路の製作 パルス波高値計測回路の製作 吉田久史 豊田朋範 自然科学研究機構分子科学研究所装置開発室 概要極端紫外光実験施設 (UVSOR) の自由電子レーザー (FEL) 実験において 透過型光強度モニターからのパルス信号の波高値を計測するための電子回路が必要となった この情報は最終的に電子分光装置で使用する TDC(Time to Digital Converter) により時間情報としてパソコンに取り込みたいという要望が有り

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 情報セキュリティ 第 8 回 2016 年 6 月 3 日 ( 金 ) 1/20 本日学ぶこと 本日の授業を通じて 鍵 の生成 配送 認証 破棄について, その必要性と方法を理解します. セキュリティを実現するために必要となる, 乱数 の性質と, 具体的な乱数生成アルゴリズムを学びます. 公開鍵暗号とディジタル署名を円滑に運用するための, 公開鍵基盤 (PKI) について学びます. 2 鍵は重要 鍵は小さい

More information

招待論文 フルスペック 8K スーパーハイビジョン圧縮記録装置の開発 3.3 記録制御機能と記録媒体 144 Gbps の映像信号を 1/8 に圧縮した場合 18 Gbps 程度 の転送速度が要求される さらに音声データやその他のメ タデータを同時に記録すると 記録再生には 20 Gbps 程度 の転送性能が必要となる また 記録媒体は記録装置から 着脱して持ち運ぶため 不慮の落下などにも耐性のあるこ

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft PowerPoint - qcomp.ppt [互換モード]

Microsoft PowerPoint - qcomp.ppt [互換モード] 量子計算基礎 東京工業大学 河内亮周 概要 計算って何? 数理科学的に 計算 を扱うには 量子力学を計算に使おう! 量子情報とは? 量子情報に対する演算 = 量子計算 一般的な量子回路の構成方法 計算って何? 計算とは? 計算 = 入力情報から出力情報への変換 入力 計算機構 ( デジタルコンピュータ,etc ) 出力 計算とは? 計算 = 入力情報から出力情報への変換 この関数はどれくらい計算が大変か??

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード]

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード] 3 次元電磁界シミュレータ (EMPro) と 回路シミュレータ (ADS) との効率的な協調解析事例のご紹介 Page 1 EMPro 2010 3 次元電磁界解析専用プラットフォーム 3 次元形状入力に特化した操作性 Windows & Linux 対応 多くの 3D CAD フォーマットの Import をサポート Fastest, t Highest Capacity 3 次元フルウェーブ電磁界シミュレーション

More information

スライド 1

スライド 1 カームコンピュータ株式会社 クラウド向けセキュリティ @SECURE/KeyShare-Encryption for Cloud Copyright 2010 Calm Computer Corporation All right reserved. 国の開発委託事業費により開発 @SECURE/KeyShere-Encryption for Cloud は経済産業省による 平成 22 23 年度産業技術開発委託費

More information

Arduinoで計る,測る,量る

Arduinoで計る,測る,量る [ 第 4 章 ] 決められた入出力ポートだが逆に使いやすいアナログ入出力もスケッチが用意されていて使い方は簡単 本章では,Arduino のアナログ入力として, センサからの出力の代わりにボリュームを用いて, 0V から電源電圧まで変化する電圧を読み取り, この変化した電圧に対応した出力を LED に加えてアナログ入出力のテストを行います. 続いて, アナログ入力の具体例として温度の測定を行います.

More information

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 )

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) PACKAGE COMPLEXITY & TRANSISTOR COUNT 課題 : 実装検査 不良解析 デバッグ プローブ接続では BGA 実装の検査 / 解析 / デバッグができない プローブ接続が困難な高密度実装は増加の一方 このままではテスト費用のほうが高くなる!

More information

新技術説明会 様式例

新技術説明会 様式例 1 ロボットへの FPGA 導入を 容易化する コンポーネント技術 宇都宮大学大学院工学研究科情報システム科学専攻助教大川猛 2 従来技術とその問題点 FPGA(Field Programmable Gate Array) は 任意のディジタル論理回路をプログラム可能な LSI ソフトウェアでは時間がかかる画像認識処理等を ハードウェア化して 高速化 低消費電力化可能 問題点 FPGA 上の回路設計が難しい

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

スライド 1

スライド 1 資料 WG 環 3-1 IPv6 環境クラウドサービスの構築 運用ガイドライン骨子 ( 案 ) 1 本骨子案の位置付け 本ガイドライン骨子案は 環境クラウドサービス を構築 運用する際に関連する事業者等が満たすことが望ましい要件等を規定するガイドライン策定のための準備段階として ガイドラインにおいて要件を設定すべき項目をまとめたものである 今後 平成 21 年度第二次補正予算施策 環境負荷軽減型地域

More information

ムーアの法則に関するレポート

ムーアの法則に関するレポート 情報理工学実験レポート 実験テーマ名 : ムーアの法則に関する調査 職員番号 4570 氏名蚊野浩 提出日 2019 年 4 月 9 日 要約 大規模集積回路のトランジスタ数が 18 ヶ月で2 倍になる というムーアの法則を検証した その結果 Intel 社のマイクロプロセッサに関して 1971 年から 2016 年の平均で 26.4 ヶ月に2 倍 というペースであった このことからムーアの法則のペースが遅くなっていることがわかった

More information

Microsoft Word - QEX_2014_feb.doc

Microsoft Word - QEX_2014_feb.doc QEX2 月掲載記事 GPS 同期の 10MHz-OCXO 1. はじめに様々な場面で周波数精度の高い 10MHz 基準信号が必要とされます たとえば ダブルオーブン式の OCXO を使用して ppb 級 (10 の -9 乗 ) の精度を実現することができます OCXO 以上の精度を要求する場合には ルビジウム発振器や GPS 同期の OCXO を使用します ルビジウム発振器や GPS 同期の OCXO

More information

Presentation Title Arial 28pt Bold Agilent Blue

Presentation Title Arial 28pt Bold Agilent Blue Agilent EEsof 3D EM Application series 磁気共鳴による無線電力伝送システムの解析 アジレント テクノロジー第 3 営業統括部 EDA アプリケーション エンジニアリングアプリケーション エンジニア 佐々木広明 Page 1 アプリケーション概要 実情と現状の問題点 非接触による電力の供給システムは 以前から研究 実用化されていますが そのほとんどが電磁誘導の原理を利用したシステムで

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

iCLR

iCLR RF24N1D-05-TK 無線モジュール簡易評価キット 取扱説明書 第 1.02 版 2014 年 05 月 01 日 株式会社 TOUA 1/10 目次 1. はじめに... 3 1.1. 無線モジュール簡易評価キットの概要... 3 1.2. 免責事項... 3 2. 無線モジュール簡易評価キットの構成... 3 3. 評価ボードの説明... 4 4. 事前準備... 5 5. 評価キットの実機動作...

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

正誤表(FPT0417)

正誤表(FPT0417) 正誤表 よくわかるマスター CompTIA Security+ 問題集試験番号 :SY0-101 対応 FPT0417 改版時期 奥付日付 2004 年 11 月 23 日 2007 年 09 月 03 日 2008 年 08 月 11 日 版数第 1 版 修正箇所 P 30 問題 89 c. 信頼性 c. 冗長性 P 64 問題 89 c 5 行目 ユーザの信頼性を確保することができます そのため

More information

AN41250A

AN41250A DATA SHEET 品種名 パッケージコード HQFP048-P-0707A 発行年月 : 2007 年 6 月 1 目 概要. 3 特長. 3 用途. 3 外形. 3 構造.... 3 ブロック図.... 4 端子説明... 5 絶対最大定格..... 7 動作電圧範囲. 7 次 2 光 Disk 用 7-ch Motor r 用 IC 概要 は Spindle Motor 駆動部に低雑音の Direct

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

Microsoft PowerPoint - semi_ppt07.ppt [互換モード]

Microsoft PowerPoint - semi_ppt07.ppt [互換モード] 1 MOSFETの動作原理 しきい電圧 (V TH ) と制御 E 型とD 型 0 次近似によるドレイン電流解析 2 電子のエネルギーバンド図での考察 理想 MOS 構造の仮定 : シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない 金属 (M) 酸化膜 (O) シリコン (S) 電子エ金属 酸化膜 シリコン (M) (O) (S) フラットバンド ネルギー熱平衡で 伝導帯 E

More information

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧 デジアナ混載 IC ミックスド シグナル IC 設計の留意点 2005 年 5 月初版 2010 年 10 月改訂作成 : アナロジスト社森本浩之 まえがきデジタル アナログ混載 IC の回路本来の実力を引き出すためにはアナログ回路とデジタ ル回路の不要な干渉を抑える必要があり ノウハウを要します ですが十分な理解と注意の元で設 計を行えばさほど混載を恐れる必要もありません 用語 IP: Intellectual

More information

Microsoft PowerPoint SCOPE-presen

Microsoft PowerPoint SCOPE-presen H19-21 SCOPE 若手 ICT 研究者育成型研究開発 楕円曲線暗号を用いた 匿名認証基盤の研究開発 岡山大学大学院自然科学研究科 中西 野上 透 保之 1 研究の背景 ユビキタス社会では ユーザ認証を通じ ユーザ認証を通じユーザの様々な履歴がサーバに蓄積 ID:Alice Pass: ***** ユーザ ID:Alice インターネットサーバ 様々な機器からの利用 様々な場所からの利用 Pass:

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

Microsoft PowerPoint - semi_ppt07.ppt

Microsoft PowerPoint - semi_ppt07.ppt 半導体工学第 9 回目 / OKM 1 MOSFET の動作原理 しきい電圧 (V( TH) と制御 E 型と D 型 0 次近似によるドレイン電流解析 半導体工学第 9 回目 / OKM 2 電子のエネルギーバンド図での考察 金属 (M) 酸化膜 (O) シリコン (S) 熱平衡でフラットバンド 伝導帯 E c 電子エネルギ シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

HW-Slides-04.ppt

HW-Slides-04.ppt ハードウェア実験 組み込みシステム入門第 4 回 2012 年 10 月 11 日 IC TRAINER の導入 2 ブレッドボードとは何か! 手引き書 P8 半田付けせずに 簡単にリード線を差し込むだけで回路の動作を調べることができるボード! 部品挿入エリアでは ABCDE が縦に裏側で接続されている! 電源ラインでは 横に接続されている! 慣例として! 赤 : + 電源! 青 :- 電源または

More information

Microsoft PowerPoint - DNSSECとは.ppt

Microsoft PowerPoint - DNSSECとは.ppt DNS のセキュリティ向上 DNSSEC 1 本日の内容 DNSSECとは? 導入の背景 DNSSECの仕組み DNSSECへの対応 DNSSECの導入状況 まとめ 2 DNSSEC とは? 3 DNSSEC ~DNS のセキュリティ拡張 ~ Domain Name SystemS Security SEC Extensions 4 example.jp を見たい! DNSSEC で何が変わる!?

More information

momentum Probe Type-R/C version 4.21 build-a04a Release Notes Release Version: momentum Probe Type-R/C version 4.21 build-a04a Release Date: 2018/06/2

momentum Probe Type-R/C version 4.21 build-a04a Release Notes Release Version: momentum Probe Type-R/C version 4.21 build-a04a Release Date: 2018/06/2 Release Version: momentum Probe Type-R/C version 4.21 build-a04a Release Date: 1 追加された機能 Feature #120122577: 新ライセンスモジュールの組み込み 概要 ライセンスに関連する機構を刷新 Feature #120122587: mm-rsync(mm-rsync-c mm-rsync-s) 概要 製品間でのデータ転送機構を実装

More information

Microsoft PowerPoint Quality-sama_Seminar.pptx

Microsoft PowerPoint Quality-sama_Seminar.pptx インテル vpro テクノロジー ~ 革新と継続的な進化 ~ インテル株式会社マーケティング本部 2010 年 11 月 2010年の新プロセッサー: 更なるパフォーマンスを スマート に実現 ユーザーのワークロードに合わせて プロセッサーの周波数を動的に向上 インテル インテル ターボ ブースト テクノロジー* ターボ ブースト テクノロジー* 暗号化処理を高速化 保護する 新しいプロセッサー命令

More information

<8AEE B43979D985F F196DA C8E323893FA>

<8AEE B43979D985F F196DA C8E323893FA> 基礎電気理論 4 回目 月 8 日 ( 月 ) 共振回路, 電力教科書 4 ページから 4 ページ 期末試験の日程, 教室 試験日 : 月 4 日 ( 月 ) 時限 教室 :B-4 試験範囲 : 教科書 4ページまでの予定 http://ir.cs.yamanashi.ac.jp/~ysuzuki/kisodenki/ 特別試験 ( 予定 ) 月 5 日 ( 水 ) 学習日 月 6 日 ( 木 )

More information

6. パイプライン制御

6. パイプライン制御 6. パイプライン制御 パイプライン (Pipelining) 命令のスループットをあげて性能を向上する Program eection order Time (in instrctions) lw $, ($) fetch 2 4 6 8 2 4 6 8 Data access lw $2, 2($) 8 ns fetch Data access lw $3, 3($) Program eection

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

外為令別表の 8 の項 ( 省令第 20 条第 1 項及び第 2 項 ) 外為令別表の 8 の項 ( 省令第 20 条第 1 項及び第 2 項 ) パラメータシート [ 電子計算機に係る技術 ] 提供技術名 : Microsoft Internet Explorer 作成者又は提供者 : Micro

外為令別表の 8 の項 ( 省令第 20 条第 1 項及び第 2 項 ) 外為令別表の 8 の項 ( 省令第 20 条第 1 項及び第 2 項 ) パラメータシート [ 電子計算機に係る技術 ] 提供技術名 : Microsoft Internet Explorer 作成者又は提供者 : Micro 外為令別表の 8 の項 ( 省令第 20 条第 1 項及び第 2 項 ) 外為令別表の 8 の項 ( 省令第 20 条第 1 項及び第 2 項 ) パラメータシート [ 電子計算機に係る技術 ] 提供技術名 : Microsoft Internet Explorer 作成者又は提供者 : Microsoft Corporation 作成日付 : 2007.11( 平成 19 年 10 月 1 日改正政省令対応

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

Microsoft PowerPoint - Chap1 [Compatibility Mode]

Microsoft PowerPoint - Chap1 [Compatibility Mode] ディジタル設計 (A1) (Chap. 1) @ F301 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/digital2012/index.html 情報システム学科次世代コンピューティング研究室山下茂 ger@cs.ritsumei.ac.jp 0 目次 1. デジタル回路設計に関する概要の確認 基本的な用語 LSI 設計の流れ LSIの種類 現代用語の基礎知識ともいえます!

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx 3 次元 DRAM プロセッサ積層実装を 対象としたオンチップ メモリ アーキテクチャの提案と評価 橋口慎哉 小野貴継 ( 現 ) 井上弘士 村上和彰 九州大学大学院システム情報科学府 九州大学大学院システム情報科学研究院 発表手順 研究背景 研究目的 ハイブリッド キャッシュ アーキテクチャ 評価実験 まとめと今後の課題 2 3 次元実装技術 研究背景 グローバル配線長の削減 チップ面積縮小 異なるプロセスを経て製造されたダイ同士の積層

More information

スライド 1

スライド 1 2018 年 6 月 20 日 ( 水 ) 弘前工業高等学校情報技術科見学会 模擬講義 (40 分 09:45~10:30 458 プログラミング室 ) PLD 演習システム体験 弘前大学理工学部電子情報工学科一條健司 サポート学生浅野 (4 年 ) 日下部 (4 年 ) 高室 (4 年 ) 佐藤 ( 修士 2 年 ) 増田 ( 修士 2 年 ) 三ケ田 ( 修士 2 年 ) 印の椅子に着席して下さい

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

PRONETA

PRONETA PRONETA 操作概要 PROFINET IO デバイスの無償診断ツール シーメンス株式会社デジタルファクトリー事業本部ファクトリーオートメーション部 2015 年 12 月 22 日 目次 ここで紹介している操作は PRONETA バージョン 2.2 を基にしています PRONETA 概要 3 動作環境と起動方法 4 ホーム画面 5 ネットワーク解析画面 6 IOチェック画面 9 設定画面 13

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

KSforWindowsServerのご紹介

KSforWindowsServerのご紹介 Kaspersky Security for Windows Server のご紹介 ランサムウェアに対抗する アンチクリプター を搭載 株式会社カスペルスキー 製品本部 目次 1. サーバーセキュリティがなぜ重要か? 2. Kaspesky Security for Windows Server の概要 Kaspersky Security for Windows Server の特長 導入の効果

More information

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

<4D F736F F F696E74202D2091E6824F82538FCD8CEB82E88C9F8F6F814592F990B382CC8CB4979D82BB82CC82505F D E95848D8682CC90B69

<4D F736F F F696E74202D2091E6824F82538FCD8CEB82E88C9F8F6F814592F990B382CC8CB4979D82BB82CC82505F D E95848D8682CC90B69 第 章 誤り検出 訂正の原理 その ブロック符号とその復号 安達文幸 目次 誤り訂正符号化を用いる伝送系誤り検出符号誤り検出 訂正符号 7, ハミング符号, ハミング符号生成行列, パリティ検査行列の一般形符号の生成行列符号の生成行列とパリティ検査行列の関係符号の訂正能力符号多項式 安達 : コミュニケーション符号理論 安達 : コミュニケーション符号理論 誤り訂正符号化を用いる伝送系 伝送システム

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

スライド 1

スライド 1 順序回路 (2) 1 順序回路の設計 組合せ論理回路の設計法 構造や規則性に着目した手設計 ( 先人の知恵を使う ) 入力 出力の関係に基づく自動合成 ( カルノー図など ) 順序回路の設計法 構造や規則性に着目した手設計 ( 前回の各例 ) 入力 出力 状態の関係に基づく自動合成 2 同期式順序回路の入力 出力 状態の関係 x 1 x 2 組合せ回路 y 1 y 2 x n q 2 q p q 1

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information