ネットリストおよびフィジカル・シンセシスの最適化

Similar documents
デザイン・スペース・エクスプローラ

Chip PlannerによるECO

FPGAメモリおよび定数のインシステム・アップデート

Quartus IIネットリスト・ビューワによるデザインの解析

HardCopy IIデバイスのタイミング制約

Quartus IIプロジェクトのマネージング

Quartus II Integrated Synthesis, Quartus II 6.0 Handbook, Volume 1

Nios II ハードウェア・チュートリアル

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Cyclone IIIデバイスのI/O機能

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

Stratix IIデバイス・ハンドブック Volume 1

論理設計の基礎

main.dvi

スライド 1

デザインパフォーマンス向上のためのHDLコーディング法

Nios II 簡易チュートリアル

Quartus II クイック・スタートガイド

Quartus II クイック・スタート・ガイド

スライド 1

starc_verilog_hdl pptx

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

コンフィギュレーション & テスト

Quartus II - デバイスの未使用ピンの状態とその処理

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp

Avalon Memory-Mappedブリッジ

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

Quartus II はじめてガイド - Device & Pin Options 設定方法

Design at a higher level






Quartus II - Chip Planner クイック・ガイド

Power Calculator

Quartus II はじめてガイド - EDA ツールの設定方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II Web Edition インストール・ガイド

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

PLDとFPGA

Quartus II はじめてガイド - プロジェクトの作成方法

Beryll Beryll Cyclone V GX FPGA FPGA ROM...

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法

Intoroduction to Quartus IIマニュアル Ver. 4.2

Quartus II - TimeQuest クイック・ガイド

HardCopy IIIデバイスの外部メモリ・インタフェース

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Quartus II はじめてガイド - ピン・アサインの方法

JAJP.indd

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Quartus II Volume 3 Arria GX Stratix Cyclone HardCopy II MAX II Microsoft Excel PowerPlay Early Power Estimator PowerPlay Power Analyzer Arria GX Stra

VLD Kazutoshi Kobayashi

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

strtok-count.eps

広報さがみはら第1242号

Microsoft PowerPoint - Lec pptx

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Stratix IIIデバイスの外部メモリ・インタフェース

TULを用いたVisual ScalerとTDCの開発

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

Microsoft Word - Meta70_Preferences.doc

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド




NL-20取扱説明書_操作編

USB-Blasterダウンロード・ケーブル・ユーザガイド

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

Quartus II ハンドブック Volume 5、セクションIV. マルチプロセッサの調整

1 2

スライド 1

MLA8取扱説明書

2

オンチップ・メモリ クイック・ガイド for Cyclone III

VHDL

ATLAS 2011/3/25-26

TM-m30 詳細取扱説明書

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル® Arria®10 Avalon®-MM インターフェイスのPCI Express*デザイン例向けユーザーガイド

NL-22/NL-32取扱説明書_操作編

U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE netli

TM-T88VI 詳細取扱説明書

BRC-X1000

PowerPoint プレゼンテーション

Lab GPIO_35 GPIO

Microsoft Word - MetaFluor70取扱説明.doc

橡EN1165.PDF

1 2

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

Quartus II はじめてガイド - デバイス・プログラミング方法

Transcription:

11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera Corporation 11 1

Quartus II Volume 2 LogicLock SignalTap II HDL Quartus II atom Quartus II Preserve Register preserve Keep Combinational Logic keep Quartus II Quartus II Volume 1Quartus II 11 2 Altera Corporation

Assignments Settings 11-1 Category Analysis & Synthesis Settings Synthesis Netlist Optimizations 11-1. Synthesis Netlist Optimizations WYSIWYG 11 6 Altera Corporation 11 3

Quartus II Volume 2 WYSIWYG atom Perform WYSIWYG primitive resynthesis (using optimization technique specified in Analysis & Synthesis settings) atom Electronic Design Interchange Format Verilog Quartus Assignments Settings Category Analysis & Synthesis Settings Synthesis Netlist Optimizations Perform WYSIWYG primitive resynthesis (using optimization technique specified in Analysis & Synthesis settings) WYSIWYG Perform WYSIWYG primitive resynthesis HardCopy Stratix Cyclone MAX II APEX Perform WYSIWYG primitive resynthesis Quartus II atom LE Quartus II Optimization Technique Assignments Settings Category Analysis & Synthesis Settings Analysis & Synthesis Settings Optimization Technique Speed Area Balanced Quartus II Balanced Optimization Technique Quartus II Volume 1Quartus II 11-2 Quartus II 11 4 Altera Corporation

11-2. WYSIWYG Un-Map LE Atom Netlist LE LE Re-Map LE LE Place & Route Quartus II Perform WYSIWYG primitive resynthesis Quartus II WYSIWYG PerformWYSIWYG primitive resynthesis LCELL LE I/O DDR Double Data Rate I/O DSP / IP Intellectual Property Verilog Quartus Electronic Design Interchange Format atom Quartus II Verilog Quartus Electronic Design Interchange Format Altera Corporation 11 5

Quartus II Volume 2 Netlist Optimizations Never Allow WYSIWYG Assignments Assignment Editor WYSIWYG Perform gate-level register retiming Quartus II 11 7 11-3 Arria GX HardCopy Stratix Cyclone MAX II APEX Assignments Settings Category Analysis & Synthesis Settings Synthesis Netlist Optimizations Synthesis Netlist Optimizations Perform gate-level register retiming Perform gate-level register retiming Power-Up Don t Care Power-Up Don t Care Assignments Settings Category Analysis & Synthesis Settings Analysis & Synthesis Settings More Settings Power-Up Don't Care Power-Up Level 11-3 10 ns 11 6 Altera Corporation

11-3. atom Perform WYSIWYG primitive resynthesis Quartus II Quartus II 11-4 Quartus II atom 11-4. LCELL DSP / / Altera Corporation 11 7

Quartus II Volume 2 1 11-5 1 11-5. 1 VCC GND Processing Compilation Report Analysis & Synthesis Optimization Results Netlist Optimizations Gate-level Retiming 11-6 11 8 Altera Corporation

11-6. Netlist Optimizations Never Allow f MAX t SU t CO / SERDES Netlist Optimizations Never Allow 2 2 Altera Corporation 11 9

Quartus II Volume 2 Retiming Meta-Stability Register Sequence Length 2 0 Assignments Settings Settings Analysis & Synthesis Settings More Settings 1 n > 1 1 2 n Netlist Optimizations Always Allow t SU /t CO f MAX Quartus II t SU t CO f MAX Assignments Settings Category Analysis & Synthesis Settings Synthesis Netlist Optimizations Synthesis Netlist Optimizations Allow register retiming to trade off Tsu/Tco with Fmax Perform gate-level register retiming Allow register retiming to trade off Tsu/Tco with Fmax I/O I/O 1 I/O 11 10 Altera Corporation

Quartus II Analysis & Synthesis quartus_map Quartus II Post-Synthesis LogicLock Quartus II Volume 1Quartus II LogicLock / 1 LogicLock Quartus II Assignments Settings Category Compilation Process Settings Compilation Process Settings Save a node-level netlist of the entire design into a persistent source file Verilog Quartus Quartus II atom_netlists Verilog Quartus Quartus II Verilog Quartus Assignments Altera Corporation 11 11

Quartus II Volume 2 Settings Category Compilation Process Settings Compilation Process Settings File name Verilog Quartus Quartus II Verilog Quartus Assignments Back-Annotate Assignments HDL Verilog Quartus Verilog Quartus Quartus II Quartus II Stratix Cyclone HardCopy II HardCopy II FPGA FPGA HardCopy HardCopy II 11 12 Altera Corporation

HardCopy Quartus II Volume 1Quartus II Support of HardCopy Series Devices Assignments Settings 11-7 Category Fitter Settings Physical Synthesis Optimizations 11-7. 2 1 1 Altera Corporation 11 13

Quartus II Volume 2 Physical synthesis effort Normal Extra effort Fast effort Normal Netlist Optimizations Processing Compilation Report Category Fitter and select Compilation Report Netlist Optimizations Never Allow Assignments Assignment Editor Settings Fitter Settings Physical Synthesis Optimizations Perform automatic asynchronous signal pipelining Quartus II 11 14 Altera Corporation

Perform automatic asynchronous signal pipelining Quartus II Recovery/Removal Analysis Recovery/Removal Analysis Assignments Settings Category Timing Requirements & Options Timing Requirements & Options More Settings Quartus II Netlist Optimization logic Never Allow Quartus II Assignments Settings Category Fitter Settings Physical Synthesis Optimizations Physical Synthesis Optimizations Perform physical synthesis for combinational logic LE LUT Altera Corporation 11 15

Quartus II Volume 2 11-8 LUT 11-8. LUT 2 LUT Quartus II LUT 2 LUT LUT LUT Physical synthesis for combinational logic LUT DSP I/O IOE Quartus II LAB Netlist Optimizations Never Allow Netlist Optimizations Always Allow 11 16 Altera Corporation

Settings Fitter Settings Physical Synthesis Optimizations Perform register duplication fitter Quartus II 1 11-9 11-9. Quartus II 1 LAB t SU I/O Netlist OptimizationsNever Allow Altera Corporation 11 17

Quartus II Volume 2 I/O Quartus II Volume 2 LogicLock Design Methodology Netlist Optimizations Always Allow Settings Fitter Settings Physical Synthesis Optimizations Perform register retiming fitter Quartus II Perform gate-level register retiming 11 6 Quartus II 1 LAB SERDES I/O Netlist Optimizations Never Allow I/O Quartus II Volume 2 LogicLock Design Methodology Netlist Optimizations Always Allow 11 18 Altera Corporation

Quartus II Post-fit LogicLock Quartus II Volume 1 Quartus II LogicLock / 1 LogicLock Quartus II Settings Compilation Process Settings Save a node-level netlist into a persistent source file (Verilog Quartus Mapping File) Verilog Quartus Quartus II atom_netlists Verilog Quartus Quartus II Verilog Quartus Settings Compilation Process Settings File name Altera Corporation 11 19

Quartus II Volume 2 Back-Annotate Assignments LE Verilog Quartus Assignments Back-Annotate Assignments Quartus II Verilog Quartus HDL Quartus II Verilog Quartus Verilog Quartus Verilog Quartus Verilog Quartus Netlist Optimizations Netlist Optimizations = Never Allow LogicLock LogicLock 11 20 Altera Corporation

LogicLock SignalTap II Extra effort Physical synthesis effort atom Verilog Quartus Electronic Design Interchange Format Gate-level Register Retiming WYSIWYG Primitive Resynthesis Quartus II Design Space Explorer DSE Tcl /Tk DSE Quartus II Volume 2 Quartus II Volume 2 Altera Corporation 11 21

Quartus II Volume 2 Tcl Quartus II Command-Line Tcl API Help Help quartus_sh --qhelp Scripting Reference Manual PDF Tcl Quartus II Volume 2 Tcl Quartus II Quartus II Settings File Reference Manual Quartus IIVolume 2Command- Line Scripting Tcl set_global_assignment -name <QSF variable name> <value> Tcl set_instance_assignment -name <QSF variable name> <value> -to <instance name> 11 22 Altera Corporation

11 1 Quartus II (.qsf) 11 3 Quartus II Tcl Type 11 1. Quartus II Perform WYSIWYG Primitive Resynthesis Optimization Technique Perform Gate-Level Register Retiming ADV_NETLIST_OPT_SYNTH_WYSIWYG_ REMAP < >_ OPTIMIZATION_TECHNIQUE ADV_NETLIST_OPT_SYNTH_GATE_ RETIME ON, OFF AREA, SPEED, BALANCED ON, OFF Power-Up Don't Care ALLOW_POWER_UP_DONT_CARE ON, OFF Allow Register Retiming to trade off Tsu/Tco with Fmax ADV_NETLIST_OPT_RETIME_CORE_ AND_IO ON, OFF Save a node-level netlist into a persistent source file Allow Netlist Optimizations LOGICLOCK_INCREMENTAL_COMPILE_ ASSIGNMENT LOGICLOCK_INCREMENTAL_COMPILE_ FILE ADV_NETLIST_OPT_ALLOWED ON, OFF <filename> "ALWAYS ALLOW", DEFAULT, "NEVER ALLOW" Altera Corporation 11 23

Quartus II Volume 2 11 2 Quartus II 11 12 Quartus II Tcl Type 11 2. Quartus II Physical Synthesis for Combinational Logic Automatic Asynchronous Signal Pipelining Perform Register Duplication Perform Register Retiming PHYSICAL_SYNTHESIS_COMBO_LOGIC ON, OFF PHYSICAL_SYNTHESIS_ASYNCHRONOUS_ SIGNAL_PIPELINING PHYSICAL_SYNTHESIS_REGISTER_ DUPLICATION PHYSICAL_SYNTHESIS_REGISTER_ RETIMING ON, OFF ON, OFF ON, OFF Power-Up Don't Care ALLOW_POWER_UP_DONT_CARE ON, OFF Power-Up Level POWER_UP_LEVEL HIGH, LOW Allow Netlist Optimizations Save a node-level netlist into a persistent source file ADV_NETLIST_OPT_ALLOWED LOGICLOCK_INCREMENTAL_COMPILE_ ASSIGNMENT LOGICLOCK_INCREMENTAL_COMPILE_ FILE "ALWAYS ALLOW", DEFAULT, "NEVER ALLOW" ON, OFF <filename> 11 11 11 19 Quartus II Volume 1 Quartus II 11 24 Altera Corporation

logiclock_back_annotatetcl LogicLock LogicLock 11 11 11 19 Tcl logiclock_back_annotate -resource_filter "REGISTER" logiclock_back_annotate backannotate Quartus II Quartus II Volume 1Integrated Synthesis Quartus II Volume 1Hierarchical & Team Based Design Quartus II Volume 1Quartus II Support of HardCopy Series Devices Quartus II Volume 2LogicLock Design Methodology Quartus II Volume 2Design Space Explorer Quartus II Volume 2Tcl Scripting Quartus II Settings File Reference Manual Quartus II Volume 2Command-Line Scripting Altera Corporation 11 25

Quartus II Volume 2 v7.1.0 Arria GX Arria GX 11-25 2007 3 v7.0.0 2006 11 v6.1.0 2006 5 v6.0.0 Quartus II 6.0.0 2005 10 v5.1.0 11 5.0 9 2005 5 v5.0.0 9 4.2 8 2004 12 v2.1 Quartus II 4.2 : APEX atom 2004 6 v2.0 Quaruts II 4.1 2004 2 v1.0 11 26 Altera Corporation