Linda

Size: px
Start display at page:

Download "Linda"

Transcription

1 ArF(193 nm) CoO(Cost of Owership) CD(Critical Dimension) (Difficult Challenges) (Potential Solutions) 15 ITWG International technology working group[ ] FEP(Front End Processing) ESH Environment, Safety, and Health[ ] TWG CD CD CD (Overlay) CD ( ) ; 30 40% IC CoO

2 2 1 CoO (PWLE: per wafer level exposed) Normalized CoO ($/GWLE) CoO Sensitivity (Impact to $/GWLE to input parameter) Throughput Throughput (WPH) (WPH) Litho Cell Cost Cost Mask Cost Mask Usage Product Yield w/ rework Litho Cell Reliability (MTBF) Product Yield NO Rework Normalized Input Variation Figure 66 Plot of Normalized Cost of Ownership as a Function of Several Normalized Input Variables 2 Cpwle = (Ce + Cl + Cf + Cc + Cr Qrw Nc ) / Ng + Cm / Nwm : Cpwle = Ce= ( ) Cl= Cf = Cc = ( ) Cr = Qrw= Nc = Tnet= = * Ng = (GWLE: good wafers levels exposed) = Tnet YL dt Y L = t= Cm = Nwm =

3 3 Ce ( 5 ) CoO Ce Tnet Cm Nwm Figure 66 CoO (Tnet) (Nwm) 45 nm Rayleigh R : λ R = k1 [1] NA λ NA nsinα 0 n α 0 k CD (DOF depth of focus) 1 DOF = k 3 n sin n =1 NA<0.8 DOF 2 λ sin ( sinα o ) 2 n [2] λ DOF k 2 [3] NA 2 (k2 k3) k 1 Ar (193nm) ArF, EUV(Extreme Ultraviolet) DOF [1] [3] (OAI: off-axis illumination) (PSM: phase shifting mask) (OPC: optical proximity correction) (RET: Resolution Enhancement Technique) ArF(193nm) RET NA Table 74 RET OPC RET 1 Burn Lin, The k3 coefficient in nonparaxial λ/na scaling equations for resolution, depth of focus, and immersion lithography, Journal of Microlithography, Microfabrication and Microsystems 1(1), 7 12, April 2002.

4 4 32 nm ArF 32 nm 2 EUV (ML2: Maskless Lithography) (NGL next-generation lithography) Table 74 Various Techniques for Achieving Desired CD Control and Overlay with Optical Projection Lithography MPU M1 contacted ½ pitch 210 nm 160 nm 120 nm 90 nm 65 nm 45 nm k 1 Range [A] Design rules Restrictions (cumulative) Masks (Optical proximity correction) (Gate and M1 layer mask type) (Contacts/vias layers mask type) Resist Tool Minor restriction Allow OPC and PSM, SRAF Minimum pitch, spacing and linewidth Rule-based OPC, MBOPC for gate, custom OPC for memory cells Model-based OPC (MBOPC) on critical layers, SRAF on gate layer cpsm and EPSM EPSM Pitch and orientation Litho friendly design rules Contact locations, library cells checked for OPC compatibility and printability Model-based OPC w /SRAF on critical layers, verification of entire corrected layout with simulation APSM, EPSM and hit EPSM Custom by layer type Features on grid?, Restricted feature set? Model-based OPC with vector simulation, SRAF, polarization corrections APSM, hit EPSM, dual dipole? APSM, EPSM, HiT PSM Model-based OPC with vector simulation, SRAF, polarization corrections, variation of OPC intensity by location in circuit?, magnification increase? APSM, hit EPSM, double exposure with 2 larger pitch Thickness <500 nm <400 nm <350 nm <280 nm <225 nm <160 nm Substrate ARC ARC, hard masks ARC, hard masks, top coats Etch (Illumination) (Dose control) (Process control (CD and overlay) Conventional, annular illumination Offsets from previous lots Selection based on aberrations, automated NA/sigma control Off-axis illumination Cross wafer dose adjustments Quadrupole Post development resist width reduction Custom illumination Automated process control with downloaded offsets Aberration monitoring Custom illumination, polarization optimization Dose adjustment across the wafer and along scan Custom illumination, polarization optimization Automated process control with downloaded offsets, metrology integrated in lithography cell MBOPC model based optical proximity correction cpsm complementary PSM APSM alternating PSM EPSM embedded PSM HiT high transmission ARC antireflection coating SRAF sub-resolution assist features Table 74 : [A]

5 5 10 Table 75 MPU ( ) k1 MEEF(mask error enhancement factor) nm 4 9 nm 14 nm nm 3.0 nm ArF OPC PSM CD (ESD: electrostatic discharge) RET 4 NA>0.9 NA> TE(Transverse Electric) 4 CD RET APC(automated process control) Table 74 CD DFM(design for manufacturing) DFM IC CMP CD EDA

6 6 DFM DFM (ROI) 450mm MPU CD CD LER(Line Edge Roughness) ArF 45 nm >1.44 CaF 2 (>1.56) EUV 32 nm 45 nm EUV 13.5 nm 13.5 nm EUV Table 75 ; EUV 13.5 nm LWR(Line Width Roughness) EUV (Longer Term) CD to to PFAS(perfluoroalkyl sulfonate)

7 7 LWR Difficult Challenges 32 nm Table 75 Optical masks with features for resolution enhancement and post-optical mask fabrication Cost control and return on investment Process control Immersion lithography EUV lithography Lithography Difficult Challenges Summary of Issues Registration, CD, and defect control for masks Equipment infrastructure (writers, inspection, metrology, cleaning, repair) for fabricating masks with sub-resolution assist features Understanding polarization effects at the mask and effects of mask topography on imaging and optimizing mask structures to compensate for these effects Eliminating formation of progressive defects and haze during exposure Determining optimal mask magnification ratio for <45 nm half pitch patterning with 193 nm radiation and developing methods, such as stitching, to compensate for the potential use of smaller exposure fields Development of defect free 1 templates Achieving constant/improved ratio of exposure related tool cost to throughput over time Cost-effective resolution enhanced optical masks and post-optical masks, and reducing data volume Sufficient lifetime for exposure tool technologies Resources for developing multiple technologies at the same time ROI for small volume products Stages, overlay systems and resist coating equipment development for wafers with 450 mm diameter Processes to control gate CDs to < 4 nm 3σ New and improved alignment and overlay control methods independent of technology option to <11 nm 3σ overlay error Controlling LER, CD changes induced by metrology, and defects < 50 nm in size Greater accuracy of resist simulation models Accuracy of OPC and OPC verification, especially in presence of polarization effects Control of and correction for flare in exposure tool, especially for EUV lithography Lithography friendly design and design for manufacturing (DFM) Control of defects caused in immersion environment, including bubbles and staining Resist chemistry compatibility with fluid or topcoat and development of topcoats Resists with index of refraction > 1.8 Fluid with refractive index > 1.65 meeting viscosity, absorption, and fluid recycling requirements Lens materials with refractive index >1.65 meeting absorption and birefringence requirements for lens designs Low defect mask blanks, including defect inspection with < 30 nm sensitivity and blank repair Source power > 115 W at intermediate focus, acceptable utility requirements through increased conversion efficiency and sufficient lifetime of collector optics and source components Resist with < 3 nm 3σ LWR, < 10 mj/cm 2 sensitivity and < 40 nm ½ pitch resolution Fabrication of optics with < 0.10 nm rms figure error and < 10% intrinsic flare Controlling optics contamination to achieve > five-year lifetime Protection of masks from defects without pellicles Mix and match with optical lithography

8 8 Table 75 Difficult Challenges < 32 nm Mask fabrication Metrology and defect inspection Cost control and return on investment Gate CD control improvements and process control Resist materials Lithography Difficult Challenges (continued) Summary of Issues Defect-free masks, especially for 1 masks for imprint and EUVL mask blanks free of printable defects Timeliness and capability of equipment infrastructure (writers, inspection, metrology, cleaning, repair), especially for 1 masks Mask process control methods and yield enhancement Protection of EUV masks and imprint templates from defects without pellicles Phase shifting masks for EUV Resolution and precision for critical dimension measurement down to 6 nm, including line width roughness metrology for 0.8 nm 3σ Metrology for achieving < 2.8 nm 3σ overlay error Defect inspection on patterned wafers for defects < 30 nm, especially for maskless lithography Die-to-database inspection of wafer patterns written with maskless lithography Achieving constant/improved ratio of exposure-related tool cost to throughput Development of cost-effective optical and post-optical masks Achieving ROI for industry with sufficient lifetimes for exposure tool technologies and ROI for small volume products Development of processes to control gate CD < 1.3 nm 3σ with < 1.5 nm 3σ line width roughness Development of new and improved alignment and overlay control methods independent of technology option to achieve < 2.8 nm 3σoverlay error, especially for imprint lithography Process control and design for low k 1 optical lithography Resist and antireflection coating materials composed of alternatives to PFAS compounds Limits of chemically amplified resist sensitivity for < 32 nm half pitch due to acid diffusion length Materials with improved dimensional and LWR control : (Table 76a b) (Table 77a b c) (Table 78a-f) MPU ( ) CD 10% MPU CD 10% 12% MPU CD LSI LER LWR LWR LWR IC MPU 2003

9 9 Table 76a b LWR Table 77a-c (NGL) NGL NGL EUV ( Table 78a b Table 78c d Table 78e f) EUV (UV-NIL) EUV EUV CD 4 1/16 EUV NGL CD ( / ) CIM (APC) Factory Integration

10 10 Table 76a Lithography Technology Requirements Near-term Years Year of Production DRAM ½ pitch (nm) (contacted) DRAM and Flash DRAM ½ pitch (nm) Flash ½ pitch (nm) (un-contacted poly) Contact in resist (nm) Contact after etch (nm) Overlay [A] (3 sigma) (nm) CD control (3 sigma) (nm) [B] MPU MPU/ASIC Metal 1 (M1) ½ pitch (nm) MPU gate in resist (nm) MPU physical gate length (nm) * Contact in resist (nm) Contact after etch (nm) Gate CD control (3 sigma) (nm) [B] ** MPU/ASIC Metal 1 (M1) ½ pitch (nm) Chip size (mm 2 ) Maximum exposure field height (mm) Maximum exposure field length (mm) Maximum field area printed by exposure tool (mm 2 ) Number of mask levels MPU Number of mask levels DRAM Wafer size (diameter, mm) *MPU OTRC ** 3 Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

11 11 Table 76b Lithography Technology Requirements Long-term Years Year of Production DRAM ½ pitch (nm) (contacted) DRAM and Flash DRAM ½ pitch (nm) Flash ½ pitch (nm) (un-contacted poly) Contact in resist (nm) Contact after etch (nm) Overlay [A] (3 sigma) (nm) CD control (3 sigma) (nm) [B] MPU MPU/ASIC Metal 1 (M1) ½ pitch (nm) MPU gate in resist (nm) MPU physical gate length (nm) * Contact in resist (nm) Contact after etch (nm) Gate CD control (3 sigma) (nm) [B] MPU/ASIC Metal 1 (M1) ½ pitch (nm) Chip size (mm 2 ) Maximum exposure field height (mm) Maximum exposure field length (mm) Maximum field area printed by exposure tool (mm 2 ) Number of mask levels MPU Number of mask levels DRAM Wafer size (diameter, mm) * MPU OTRC Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known Table 76a and b [A] Overlay (nm) Overlay X Y P1 ( ) P2 overlay O=P1-P2 O X Y 3 [B] CD control (nm) CD ( ) ( )

12 12 Table 77a Resist Requirements Near-term Years Year of Production DRAM ½ pitch (nm) (contacted) Flash ½ pitch (nm) (un-contacted poly) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU physical gate length (nm) [after etch] MPU gate in resist length (nm) Resist Characteristics * Resist meets requirements for gate resolution and gate CD control (nm, 3 sigma) ** Resist thickness (nm, single layer) *** PEB temperature sensitivity (nm/c) Backside particle density (particles/cm 2 ) Back surface particle diameter: lithography and measurement tools (nm) Defects in spin-coated resist films (#/cm 2 ) Minimum defect size in spin-coated resist films (nm) Defects in patterned resist films, gates, contacts, etc. (#/cm 2 ) Minimum defect size in patterned resist (nm) Low frequency line width roughness: (nm, 3 sigma) <8% of CD ***** Table 77b Resist Requirements Long-term Years Year of Production DRAM ½ pitch (nm) (contacted) Flash ½ pitch (nm) (un-contacted poly) MPU/ASIC Metal 1 (M1) ½ pitch (nm)(contacted) MPU physical gate length (nm) [after etch] MPU gate in resist length (nm) Resist Characteristics * Resist meets requirements for gate resolution and gate CD control (nm, 3 sigma) ** Resist thickness (nm, single layer) *** PEB temperature sensitivity (nm/c) Backside particle density (particles/cm 2 ) Back surface particle diameter: lithography and measurement tools (nm) Defects in spin-coated resist films (#/cm 2 ) Minimum defect size in spin-coated resist films (nm) Defects in patterned resist films, gates, contacts, etc. (#/cm 2) Minimum defect size in patterned resist (nm) Low frequency line width roughness: (nm, 3 sigma) <8% of CD ***** Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

13 13 Table 77a b : * ( ) ** *** 2.0:1 3.5:1. **** ***** LWR Lf 0.5 µm -1 1/(2*MPU ½ Pitch) 3σ : SEM 2 µim 4 nm : [A] [B] 90±2 [C] 130 [D] (PHOST: poly hydroxystyrene) [E] [F] <1000pptM [G] Metal < 5ppb [H] 2 (molecules/cm 2 sec) 193 nm <1e12 EUV <5e13 EPL [I] 2 Si (molecules/cm 2 sec) 193 nm <1e8 EUV <5e13 Table 77c Resist Sensitivities Exposure Technology Sensitivity 248 nm mj/ cm nm mj/ cm 2 Extreme Ultraviolet at 13.5 nm 5 15 mj/ cm 2 High Voltage Electron Beam ( kv) **** 5 10 µc/ cm 2 Low Voltage Electron Beam (1 2 kv) **** µc/ cm 2 ****

14 14 Table 78a Optical Mask Requirements Near-term Years Year of Production DRAM ½ pitch (nm) (contacted) DRAM/Flash CD control (3 sigma) (nm) MPU/ASIC Metal 1 (M1) ½ pitch (nm)(contacted) MPU gate in resist (nm) MPU physical gate length (nm) Gate CD control (3 sigma) (nm) [B] Overlay (3 sigma) (nm) Contact after etch (nm) Mask magnification [B] Mask nominal image size (nm) [C] Mask minimum primary feature size [D] Mask sub-resolution feature size (nm) opaque [E] Image placement (nm, multipoint) [F] CD uniformity allocation to mask (assumption) MEEF isolated lines, binary or attenuated phase shift mask [G] CD uniformity (nm, 3 sigma) isolated lines (MPU gates), binary or attenuated phase shift mask [H] * MEEF dense lines, binary or attenuated phase shift mask [G] CD uniformity (nm, 3 sigma) dense lines (DRAM half pitch), binary or attenuated phase shift mask [J] MEF contacts [G] CD uniformity (nm, 3 sigma), contact/vias [K] * Linearity (nm) [L] CD mean to target (nm) [M] Defect size (nm) [N] * Blank flatness (nm, peak-valley) [O] Data volume (GB) [P] Mask design grid (nm) [Q] Attenuated PSM transmission mean deviation from target (± % of target) [R] Attenuated PSM transmission uniformity (±% of target) [R] Attenuated PSM phase mean deviation from 180º (± degree) [S] Alternating PSM phase mean deviation from nominal phase angle target (± degree) [S] Alternating PSM phase uniformity (± degree) [T] Mask materials and substrates Absorber/attenuator on fused silica Pellicle for optical masks for exposure wavelengths down to 193 nm, including masks for 193 nm immersion. * 3 Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

15 15 Table 78b Optical Mask Requirements Long-term Years Year of Production DRAM ½ pitch (nm) (contacted) DRAM/Flash CD control (3 sigma) (nm) MPU/ASIC Metal 1 (M1) ½ pitch (nm)(contacted) MPU gate in resist (nm) MPU physical gate length (nm) Gate CD control (3 sigma) (nm) [B] Overlay (3 sigma) (nm) Contact after etch (nm) Mask magnification [B] Mask nominal image size (nm) [C] Mask minimum primary feature size [D] Mask sub-resolution feature size (nm) opaque [E] Image placement (nm, multipoint) [F] CD uniformity allocation to mask (assumption) MEEF isolated lines, binary or attenuated phase shift mask [G] CD uniformity (nm, 3 sigma) isolated lines (MPU gates), binary or attenuated phase shift mask [H] MEEF dense lines, binary or attenuated phase shift mask [G] CD uniformity (nm, 3 sigma) dense lines (DRAM half pitch), binary or attenuated phase shift mask [J] MEF contacts [G] CD uniformity (nm, 3 sigma), contact/vias [K] Linearity (nm) [L] CD mean to target (nm) [M] Defect size (nm) [N] * Blank flatness (nm, peak-valley) [O] Data volume (GB) [P] Mask design grid (nm) [Q] Attenuated PSM transmission mean deviation from target (± % of target) [R] Attenuated PSM transmission uniformity (± % of target) [R] Attenuated PSM phase mean deviation from 180º (± degree) [S] Alternating PSM phase mean deviation from nominal phase angle target (± degree) [S] Alternating PSM phase uniformity (± degree) [T] Mask materials and substrates Absorber/attenuator on fused silica Pellicle for optical masks for exposure wavelengths down to 193 nm, including masks for 193 nm immersion. Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

16 16 Table 78a and b : [A] Wafer Minimum Line Size ( ) [B] Magnification [C] Mask Nominal Image Size [D] Mask Minimum Primary Feature Size OPC [E] Mask Sub-Resolution Feature Size [F] Image Placement (X Y) [G] MEEF(Mask Error Factor) MEEF 1 [H] CD Uniformity 3σ X Y [I] CD Uniformity 3σ X Y [J] CD Uniformity 3σ X Y [K] CD Uniformity 3σ [L] Linearity 3/2 [M] CD Mean to Target ( - )/ [N] Defect Size 10% [O] Blank Flatness mm 140 mm nm [P] Data Volume [Q] Mask Design Grid [R] Transmission % [S] Phase 2 [T] Alt PSM

17 17 Table 78c EUVL Mask Requirements Near-term Years Year of Production DRAM ½ pitch (nm) (contacted) Flash ½ pitch (nm) (un-contacted poly) DRAM/Flash CD control (3 sigma) (nm) MPU/ASIC Metal 1 (M1) ½ pitch (nm)(contacted) MPU gate in resist (nm) MPU physical gate length (nm) Gate CD control (3 sigma) (nm) [B] Overlay Contact after etch (nm) Generic Mask Requirements Mask magnification [B] Mask nominal image size (nm) [C] Mask minimum primary feature size [D] Image placement (nm, multipoint) [E] CD uniformity (nm, 3 sigma) [F] Isolated lines (MPU gates) Dense lines DRAM (half pitch) Contact/vias Linearity (nm) [G] CD mean to target (nm) [H] Defect size (nm) [I] Data volume (GB) [J] Mask design grid (nm) [K] EUVL-specific Mask Requirements Substrate defect size (nm) [L] Mean peak reflectivity 65% 66% 66% 66% 67% 67% Peak reflectivity uniformity (% 3 sigma absolute) 0.69% 0.58% 0.47% 0.42% 0.37% 0.33% Reflected centroid wavelength uniformity (nm 3 sigma) [M] Absorber sidewall angle tolerance (± degrees) [P] Absorber LER (3 sigma nm) [N] Mask substrate flatness (nm peak-to-valley) [O] Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

18 18 Table 78d EUVL Mask Requirements Long-term Years Year of Production DRAM ½ pitch (nm) (contacted) Flash ½ pitch (nm) (un-contacted poly) DRAM/Flash CD control (3 sigma) (nm) MPU/ASIC Metal 1 (M1) ½ pitch (nm)(contacted) MPU gate in resist (nm) MPU physical gate length (nm) Gate CD control (3 sigma) (nm) [B] Overlay Contact after etch (nm) Generic Mask Requirements Mask magnification [B] Mask nominal image size (nm) [C] Mask minimum primary feature size [D] Image placement (nm, multipoint) [E] CD Uniformity (nm, 3 sigma) [F] Isolated lines (MPU gates) Dense lines DRAM (half pitch) Contact/vias Linearity (nm) [G] CD mean to target (nm) [H] Defect size (nm) [I] Data volume (GB) [J] Mask design grid (nm) [K] EUVL-specific Mask Requirements Substrate defect size (nm) [L] Mean peak reflectivity 67% 67% 67% 67% 67% 67% 67% Peak reflectivity uniformity (% 3 sigma absolute) 0.29% 0.26% 0.23% 0.21% 0.19% 0.17% 0.15% Reflected centroid wavelength uniformity (nm 3 sigma) [M] Absorber sidewall angle tolerance (± degrees) [P] Absorber LER (3 sigma nm) [N] Mask substrate flatness (nm peak-to-valley) [O] Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known Table 78c d : EUVL [A] Wafer Minimum Line Size ( ) [B] Magnification [C] Mask Nominal Image Size N [D] Mask Minimum Primary Feature Size OPC [E] Image Placement (X Y) [F] CD Uniformity 3σ X Y : ( ) ( ) [G] Linearity 3/2

19 19 [H] CD Mean to Target ( - )/ [I] Defect Size 10% [J] Data Volume 1 [K] Mask Design Grid [L] Substrate Defect Size [M] [N] Line edge roughness (LER) 3σ [O] Mask Substrate Flatness 5 mm nm peak-to-valley [P]

20 20 Table 78e Imprint Template Requirements Near-term Years Year of Production DRAM ½ pitch (nm) (contacted) Flash ½ pitch (nm) (un-contacted poly) DRAM/Flash CD control (3 sigma) (nm) MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) MPU gate in resist (nm) MPU physical gate length (nm) Overlay (3 sigma) (nm) Gate CD control (3 sigma) (nm) Contact after etch (nm) Generic Mask Requirements Magnification [B] Mask nominal image size (nm) [C] Image placement (nm, multipoint) [D] CD Uniformity (nm, 3 sigma) [E] Isolated lines (MPU gates) Dense lines DRAM/Flash (half pitch) Contact/vias Linearity (nm) [F] CD mean to target (nm) [G] Data volume (GB) [H] Mask design grid (nm) [I] UV-NIL-specific Mask Requirements Defect size impacting CD (nm) x, y [J] Defect size impacting CD (nm) z [K] Mask substrate flatness (nm peak-to-valley) [L] Trench depth, mean (nm) [M] Etch depth uniformity (nm) [N] Trench wall angle (degrees) [O] Trench width roughness (nm, 3 sigma) [P] Corner radius, bottom of feature (nm) [Q] Corner radius, top of feature (nm) [R] Trench bottom surface roughness (nm, 3 sigma) [S] Template absorption [T] <2% <2% <2% <2% <2% <2% Near surface defect (nm) [U] Defect size, patterned template (nm) [V] Defect density (#/cm 2 ) [W] Dual Damascene overlay: metal/via (nm, 3 sigma) [X] Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

21 21 Table 78f Imprint Template Requirements Long-term Years Year of Production DRAM ½ pitch (nm) (contacted) Flash ½ pitch (nm) (un-contacted poly) DRAM/Flash CD control (3 sigma) (nm) MPU/ASIC Metal 1 (M1) ½ pitch (nm)(contacted) MPU gate in resist (nm) MPU physical gate length (nm) Overlay (3 sigma) (nm) Gate CD control (3 sigma) (nm) Contact after etch (nm) Generic Mask Requirements Magnification [B] Mask nominal image size (nm) [C] Image placement (nm, multipoint) [D] CD Uniformity (nm, 3 sigma) [E] Isolated lines (MPU gates) Dense lines DRAM/Flash (half pitch) Contact/vias Linearity (nm) [F] CD mean to target (nm) [G] Data volume (GB) [H] Mask design grid (nm) [I] UV-NIL-specific Mask Requirements Defect size impacting CD (nm) x, y [J] Defect size impacting CD (nm) z [K] Mask substrate flatness (nm peak-to-valley) [L] Trench depth, mean (nm) [M] Etch depth uniformity (nm) [N] Trench wall angle (degrees) [O] Trench width roughness (nm, 3 sigma) [P] Corner radius, bottom of feature (nm) [Q] Corner radius, top of feature (nm) [R] Trench bottom surface roughness (nm, 3 sigma) [S] Template absorption [T] <2% <2% <2% <2% <2% <2% <2% Near surface defect (nm) [U] Defect size, patterned template (nm) [V] Defect density (#/cm 2 ) [W] Dual Damascene overlay: metal/via (nm, 3 sigma) [X] Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known

22 22 Table 78e f : [A] Wafer Minimum Feature Size ( ) [B] Magnification N:1 [C] Mask Nominal Image Size [D] (X or Y) [E] CD Uniformity 3σ X Y : sqrt ( ) sqrt ( ) [F] Linearity 3 [G] CD Mean to Target ( - )/ [H] [I] [J] Defect Size (nm) x, y 10% [K] Defect Size (nm) z 10% [L] 6 110mm (nm peak-to-valley) [M] Trench depth mean 2 1 MPU/ASIC [N] Trench depth uniformity in nm 5% [O] Trench wall angle in degrees 5 10: [P] Trench width roughness (nm, 3 sigma) LWR [Q] Corner radius, bottom of feature S-FIL/R ( ) CD (CD 12.5%) S-FIL ( ) [R] Corner radius, top of feature CD S-FIL ( ) (CD 3%) S-FIL/R ( ) [S] [T] 6.3 mm 365 nm (%) [U] 200nm [V] Defect size, patterned template (nm) [W] 1cm -2 [X] 3D figure 67 ArF DRAM 65 nm EUV ML2 45 nm 32 nm ArF(193 nm) KrF(248 nm) 157nm F 2 32 nm 2 2 2

23 23 DRAM 32 nm 2 DRAM 45 nm EUV EUV 32 nm 22 nm (ASIC) ML2 1 DRAM 16 nm ( ) 1 2 DRAM32 nm

24 DRAM 1/2 Pitch 65nm 45nm 32nm 22nm 16nm DRAM Half-pitch nm 193 nm immersion with water Flash Half-pitch nm immersion with water 193 nm immersion with other fluids EUV, ML2 Narrow options 32 EUV 193 nm immersion with other fluids and lens material Innovative 193 nm immersion with water Imprint, ML2 Narrow options i 22 EUV Innovative 193 nm immersion Imprint, ML2, innovative technology Narrow options 16 Innovative technology Innovative EUV, imprint, ML2 Narrow options Research Required Development Underway Qualification/Pre-Production Continuous Improvement This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Notes: RET and lithography friendly design rules will be used with all optical lithography solutions, including with immersion; therefore, they are not explicitly noted. Figure 67 Lithography Exposure Tool Potential Solutions Lithography ESH Factory Integration Yield Enhancement Metrology Modeling and Simulation Device and Circuit performance Emerging Research Devices and Materials (ESH) Perfluoroalkyl sulfonates(pfas) ESH EUV EUV EUV (wall-plug) ( )

25 25 (FACTORY INTEGRATION) ( ) SECS/GEM (OCR) CD (Litho stack) (Integrated) / (Dose) (Focus) (Tilt) (YIELD ENHANCEMENT) ML2 Die-to-Database DFM (AMC) AMC Yield Enhancement EUV (METROLOGY) CD 20% CD 3D CD CD-SEM SPM( )

26 26 LWR LWR ( ) LWR LWR OPC (MODELING AND SIMULATION) ( ) (OAI) OPC EUV ML2 NA0.85 LER

27 27 / CD CD-SEM LWR LER (LER) (LWR) / OPC Modeling Simulation ITWG CD LWR PIDS FEP CD CD TWG +/-12% CD 2 CD

28 28

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca

2 76 MPU (MEF mask error factors) nm 9nmCD 14nmCD 2003 MEF 1.0(alt-PSM ) nmCD 5.5nmCD MPU OPC PSM 193nm 157nm 157nm (ROI) 193nm 157nm Ca 1 2003 2 CD 15 ITWG International technology working group[ ] ESH Environment, Safety, and Health[ ] TWG RET resolution enhancement techniques OAI off-axis illumination PSM phase shifting masks OPC optical

More information

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開-

45nm以降に向けたリソグラフィ技術 -ArF液浸への期待とその後の展開- 1 45nm ArF WG5 WG5 2 3 2004 Update Potential Solutions ArF EUV (ML2) 4 2004 Update Potential Solutions - Potential Solutions CD (total CD control) 4nm(3s) CD "Red" 2005 Changes to coloring, footnotes,

More information

第3節

第3節 Prolith 3.1 Post Exposure Bake PEB PC 1970 F.H.Dill [1-2] PC Aerial Image Image in Resist Latent Image before PEB Resist Profile Develop Time Contours Latent Image after PEB 1 NA PEB [3-4] NA Cr 2 3 (b)

More information

スライド タイトルなし

スライド タイトルなし WG5: ArF NGL STRJ WS: March 5, 2004, WG5 Lithography 2 WG5 27 STRJ WS: March 5, 2004, WG5 Lithography 3 Outline 1. ITRS Lithography Roadmap 2. ArF (193nm), ArF F 2 (157nm),EUVL PEL (Leepl), EPL, ML2 Imprint,

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

スライド 1

スライド 1 リソグラフィの最新状況 WG5 ( リソグラフィ WG) ルネサスエレクトロニクス ( 株 ) 内山貴之 - 内容 - WG5( リソグラフィ WG) の活動体制 ITRS 2011 リソグラフィの概要 リソグラフィの最新状況 まとめ 1 略語 NA Numerical Aperture CD Critical Dimension CDU CD Uniformity DOF Depth of Focus

More information

スライド 1

スライド 1 / Lithography Conventional DRC+Lithographic DRC (Metrology: SEM OPE ) CD-SEM IP CD-SEM Hot Spot 1 DRC: Design Rule Check CD-SEM: Critical Dimension-Scanning Electron Microscope Hot spot: Systematic defect:

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 ITRS 2015 に見る リソグラフィ技術の最新動向 STRJ WS 2016 年 3 月 4 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 4, 2016, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA

More information

Linda

Linda 1 METROLOGY ITRS IC IC 1/10 FIN IC 2 3 SOI ITRS 2003 10 32nm FINFET High-k Low-k FEP SOI 22nm Cu ( ) CIM computer integrated manufacturing APC advanced process control CoO(Cost Of Ownership) 3 2005 CD

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

0810_UIT250_soto

0810_UIT250_soto UIT UNIMETER SERIES 250 201 Accumulated UV Meter Digital UV Intensity Meter Research & Development CD Medical Biotech Sterilization Exposure Bonding Manufacturing Curing Production Electronic Components

More information

スライド 1

スライド 1 微細化は終わり? ー リソグラフィ技術の最新動向 ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 デバイスメーカー微細化の状況 リソ微細化技術の状況 まとめ 1 WG5( リソグラフィ WG) の活動体制 -JEITA 半導体部会 / 関連会社 - 千々松達夫 / リーダー ( 富士通セミコンタ クター )

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Lithography WG 活動報告 微細化の限界に挑むリソグラフィ技術 STRJ WS 2015 年 3 月 6 日品川 : コクヨホール WG5 主査 : 上澤史且 ( ソニー ) Work in Progress - Do not publish STRJ WS: March 6, 2015, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制 - JEITA 半導体部会 /

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2016 年度活動報告 リソグラフィー専門委員会 2017.05.09 高橋和弘リソグラフィー専門委員会委員長 リソグラフィ専門委員会 委員長 キヤノン ( 株 ) 高橋和弘 副委員長 ( 株 ) ニコン 奥村正彦 委員 ( 株 ) アドバンテスト 黒川正樹 ウシオ電機 ( 株 ) 笠間邦彦 ギガフォトン ( 株 ) 黒須明彦 信越石英 ( 株 ) 西村裕幸 東京エレクトロン ( 株 ) 中島英男

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

Huawei G6-L22 QSG-V100R001_02

Huawei  G6-L22 QSG-V100R001_02 G6 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 1 2 3 17 4 5 18 UI 100% 8:08 19 100% 8:08 20 100% 8:08 21 100% 8:08 22 100% 8:08 ********** 23 100% 8:08 Happy birthday! 24 S S 25 100% 8:08 26 http://consumer.huawei.com/jp/

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

Microsoft Word - Meta70_Preferences.doc

Microsoft Word - Meta70_Preferences.doc Image Windows Preferences Edit, Preferences MetaMorph, MetaVue Image Windows Preferences Edit, Preferences Image Windows Preferences 1. Windows Image Placement: Acquire Overlay at Top Left Corner: 1 Acquire

More information

Potential Solutions

Potential Solutions ITRS 2004 Update ITRS 2004 12 1 2004 ITRS Update,, - P. Gargini - - W. Arden - - H. Sohn - P. Gargini - 2004 ITRS Update 2004 Update Technology Node DRAM M1 2003 ITRS (hpxx ) 2004 Update Technology Node

More information

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 42, No. 1, 2008 Li 2 B 4 O 7 (LBO) *, ** * ** ** Optical Scatterer and Crystal Growth Technology of LBO Single Crystal For Development with Optical Application

More information

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal

alternating current component and two transient components. Both transient components are direct currents at starting of the motor and are sinusoidal Inrush Current of Induction Motor on Applying Electric Power by Takao Itoi Abstract The transient currents flow into the windings of the induction motors when electric sources are suddenly applied to the

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Re

Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Re Advanced Visual Inspection Technology with 2-Dimensional Motion Images for Film-shaped Products Sumitomo Chemical Co., Ltd. Industrial Technology & Research Laboratory Osamu HIROSE Maya OZAKI This paper

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama

Microsoft PowerPoint - TMTinst_ _summerschool_akiyama 1 2 3 4 5 6 7 8 9 10 590nm 2200nm 0 1.739 1.713 5 1.708 1.682 10 1.678 1.652 0-10 0.061061 0.061061 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 1.0 x1.0 0.5 x0.5 0.2 x0.2 0.1 x0.1 bg/30ms

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

Corrections of the Results of Airborne Monitoring Surveys by MEXT and Ibaraki Prefecture

Corrections of the Results of Airborne Monitoring Surveys by MEXT and Ibaraki Prefecture August 31, 2011 Corrections of the Results of Airborne Monitoring Surveys by MEXT and Ibaraki Prefecture The results of airborne monitoring survey by MEXT and Ibaraki prefecture released on August 30 contained

More information

<95DB8C9288E397C389C88A E696E6462>

<95DB8C9288E397C389C88A E696E6462> 2011 Vol.60 No.2 p.138 147 Performance of the Japanese long-term care benefit: An International comparison based on OECD health data Mie MORIKAWA[1] Takako TSUTSUI[2] [1]National Institute of Public Health,

More information

1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射

1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射 1611 原著 論文受付 2009 年 6 月 2 日 論文受理 2009 年 9 月 18 日 Code No. 733 ピクセル開口率の向上による医用画像表示用カラー液晶モニタの物理特性の変化 澤田道人 石川晃則 1) 松永沙代子 1) 1) 石川陽子 有限会社ムツダ商会 1) 安城更生病院放射線技術科 緒言 3D PET/CT Fusion 1 liquid crystal display:

More information

(43) Vol.33, No.6(1977) T-239 MUTUAL DIFFUSION AND CHANGE OF THE FINE STRUCTURE OF WET SPUN ANTI-PILLING ACRYLIC FIBER DURING COAGULATION, DRAWING AND

(43) Vol.33, No.6(1977) T-239 MUTUAL DIFFUSION AND CHANGE OF THE FINE STRUCTURE OF WET SPUN ANTI-PILLING ACRYLIC FIBER DURING COAGULATION, DRAWING AND (43) Vol.33, No.6(1977) T-239 MUTUAL DIFFUSION AND CHANGE OF THE FINE STRUCTURE OF WET SPUN ANTI-PILLING ACRYLIC FIBER DURING COAGULATION, DRAWING AND DRYING PROCESSES* By Hiroshi Aotani, Katsumi Yamazaki

More information

Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Enginee

Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Enginee Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Engineering, Kanazawa University, Kakuma-machi, Kanazawa-shi,

More information

SpecimenOTKozGo indd

SpecimenOTKozGo indd TM The Kozuka Gothic TM typeface family is composed of six weights that cover various uses ranging from body text composition to headline compositions. This typeface family is now available in OpenType

More information

1 2 3

1 2 3 INFORMATION FOR THE USER DRILL SELECTION CHART CARBIDE DRILLS NEXUS DRILLS DIAMOND DRILLS VP-GOLD DRILLS TDXL DRILLS EX-GOLD DRILLS V-GOLD DRILLS STEEL FRAME DRILLS HARD DRILLS V-SELECT DRILLS SPECIAL

More information

4/15 No.

4/15 No. 4/15 No. 1 4/15 No. 4/15 No. 3 Particle of mass m moving in a potential V(r) V(r) m i ψ t = m ψ(r,t)+v(r)ψ(r,t) ψ(r,t) = ϕ(r)e iωt ψ(r,t) Wave function steady state m ϕ(r)+v(r)ϕ(r) = εϕ(r) Eigenvalue problem

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

LC304_manual.ai

LC304_manual.ai Stick Type Electronic Calculator English INDEX Stick Type Electronic Calculator Instruction manual INDEX Disposal of Old Electrical & Electronic Equipment (Applicable in the European Union

More information

T05_Nd-Fe-B磁石.indd

T05_Nd-Fe-B磁石.indd Influence of Intergranular Grain Boundary Phases on Coercivity in Nd-Fe-B-based Magnets Takeshi Nishiuchi Teruo Kohashi Isao Kitagawa Akira Sugawara Hiroyuki Yamamoto To determine how to increase the coercivity

More information

380-厚板06

380-厚板06 Development of Ironmaking Technology Abstract The Japanese steel industry has a long history of introducing new and innovative technologies in the field of ironmaking. The new technologies introduced during

More information

02-量子力学の復習

02-量子力学の復習 4/17 No. 1 4/17 No. 2 4/17 No. 3 Particle of mass m moving in a potential V(r) V(r) m i ψ t = 2 2m 2 ψ(r,t)+v(r)ψ(r,t) ψ(r,t) Wave function ψ(r,t) = ϕ(r)e iωt steady state 2 2m 2 ϕ(r)+v(r)ϕ(r) = εϕ(r)

More information

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130-

Nano Range Specification Stable & Stable Telescopic Resonators Model Nano S Nano S Nano S Nano S Nano L Nano L Nano L Nano L Nano L Nano L Nano L 130- L i t r o n T o t a l L a s e r C a p a b i l i t y Nano Series Ultra Compact Pulsed Nd:YAG Lasers Product Range Specification Nano Range Specification Stable & Stable Telescopic Resonators Model Nano

More information

080906_…o…−…^…b…vVSCW

080906_…o…−…^…b…vVSCW Thyristor Type Single-Phase Power Regulator 2030 A 6A 150200A TOKYO RIKOSHA CO., LTD Latest VARITAP VSCW Series Achieved 1/2 the width (our company comparison). Free Power source of 100 to 240V specification.cover-type

More information

LSI ( ) ( ) ( ) ( )

LSI ( ) ( ) ( ) ( ) 1. 2 2. 5 3. 6 4. 6 5. 6 6. 6 1 7. 7 8. 7 9. 7 10. 7 11. 8 12. 8 13. 9 14. 9 15. 9 16.LSI 9 17. 10 11 7 ( ) 10 11 7 ( ) 11 11 8 ( ) 12 11 8 ( ) 14 11 9 ( ) 16 11 9 ( ) 17 18. 18 19. 20 20. 22 2 3 2 5F

More information

untitled

untitled 98 17 (2005) 81 () () E-mail : uesugi@mx4.ttcn.ne.jp 1) 1 2 3 QE 4 LSI 5 6L 18 7 8 9 10 11 12 2) 13 14() 15 1617 18 AN SN 19. 2 20 21 22 () 3) 23 SN 24() - 2 25 26 27(1) 28 (2) 4) 29 30QE 31() 32 () 33

More information

JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25

JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25 JIS Q 17025 IDT, ISO/IEC 17025 IT JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25 JIS Q 17025 IDT, ISO/IEC 17025 IT IT JIS Q 17025ISO/IEC 17025 (2) JIS Z 9358 ISO/IEC Guide 58 (3) testing

More information

橡

橡 CO2 Laser Treatment of Tinea Pedis Masahiro UEDA:,' Kiyotaka KITAMURA** and Yukihiro GOKOH*** Table I Specifications 1. Kind of Laser 2. Wavelength of Lasers. Power of Laser. Radiation Mode. Pulse Duration.

More information

燃焼圧センサ

燃焼圧センサ 49 Combustion Pressure Sensor Kouji Tsukada, Masaharu Takeuchi, Sanae Tokumitsu, Yoshiteru Ohmura, Kazuyoshi Kawaguchi π 1000N 150 225N 1 F.S Abstract A new combustion pressure sensor capable of measuring

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2 Introduction TEG 2005.10.4 @KEK 1 1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2005.10.4 @KEK 2 SOI 2005.10.4 @KEK 3 SOI : Smart Cut (UNIBOND) by SOITEC Low-R Hi-R 2005.10.4

More information

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of IEEE802.11 [1]Bluetooth [2] 1 1 (1) [6] Ack (Ack) BEC FEC (BEC) BEC FEC 100 20 BEC FEC 6.19% 14.1% High Throughput and Highly Reliable Transmission in MANET Masaaki Kosugi 1 and Hiroaki Higaki 1 1. LAN

More information

幅広い業種や業務に適応可能なRFIDソリューション

幅広い業種や業務に適応可能なRFIDソリューション RFID RFID Solution Applicable to Various Types of Business 吉 田 正 橋 本 繁 落 合 孝 直 あらまし UHF RFID Radio Frequency IDentification UHF RFID RFID UHF RFID Abstract UHF-band Radio Frequency IDentification (RFID)

More information

Continuous Cooling Transformation Diagrams for Welding of Mn-Si Type 2H Steels. Harujiro Sekiguchi and Michio Inagaki Synopsis: The authors performed

Continuous Cooling Transformation Diagrams for Welding of Mn-Si Type 2H Steels. Harujiro Sekiguchi and Michio Inagaki Synopsis: The authors performed Continuous Cooling Transformation Diagrams for Welding of Mn-Si Type 2H Steels. Harujiro Sekiguchi and Michio Inagaki Synopsis: The authors performed a series of researches on continuous cooling transformation

More information

5 11 3 1....1 2. 5...4 (1)...5...6...7...17...22 (2)...70...71...72...77...82 (3)...85...86...87...92...97 (4)...101...102...103...112...117 (5)...121...122...123...125...128 1. 10 Web Web WG 5 4 5 ²

More information

日立金属技報 Vol.34

日立金属技報 Vol.34 Influence of Misorientation Angle between Adjacent Grains on Magnetization Reversal in Nd-Fe-B Sintered Magnet Tomohito Maki Rintaro Ishii Mitsutoshi Natsumeda Takeshi Nishiuchi Ryo Uchikoshi Masaaki Takezawa

More information

I N S T R U M E N T A T I O N & E L E C T R I C A L E Q U I P M E N T Pressure-resistant gasket type retreat method effective bulk compressibility Fro

I N S T R U M E N T A T I O N & E L E C T R I C A L E Q U I P M E N T Pressure-resistant gasket type retreat method effective bulk compressibility Fro Cable Gland This is the s to use for Cable Wiring in the hazardous location. It is much easier to install and maintenance and modification compared with Conduit Wiring with Sealing Fitting. The Standard

More information

Tornado Series selection SW TiCN HSS Co FAX VL PM

Tornado Series selection SW TiCN HSS Co FAX VL PM Metal Band Saw Blades Tornado Series selection SW TiCN HSS Co FAX VL PM Selection Chart Selection Chart Solids 3 Selection Teeth 4 note 1) Structurals, Tubing H section steels Light gauge steels Tube Products

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

日本電子News Vol.41, 2009

日本電子News Vol.41, 2009 CD X Axis [mm] Y Axis [mm] - - Magnification: 150k (0, -30mm) 26 nm 24 nm 1 26 nm 26 nm (30mm, 0) Center (0, -30mm) 48 nm (0, 30mm) 48 nm 48 nm 48 nm 48 nm E-beam resist Resist image Silicon mold Dose

More information

<8B5A8F70985F95B632936EE7B22E696E6464>

<8B5A8F70985F95B632936EE7B22E696E6464> 47 Electrical Discharge Truing for Electroplated Diamond Tools Koji Watanabe Hisashi Minami Hatsumi Hiramatsu Kiyonori Masui (211 7 8 ) Electroplated diamond tools are widely used for grinding because

More information

06_学術_関節単純X線画像における_1c_梅木様.indd

06_学術_関節単純X線画像における_1c_梅木様.indd Arts and Sciences X The formulation of femoral heard measurement corrected enlargement ratio using hip joints X-ray Imaging 1 2 1 1 1 2 Key words: Bipolar Hip Arthroplasty (BHA) Preoperative planning Enlargement

More information

1 158 14 2 8 00225 2 1.... 3 1.1... 4 1.2... 5 2.... 6 2.1...7 2.2... 8 3.... 9 3.1... 10 3.2... 16 4.... 17 4.1... 18 4.2... 20 4.3... 22 5.... 23 5.1... 24 5.2... 28 5.3... 34 5.4... 37 5.5... 39 6....

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション EUV は間に合うか それともトリプルパターンニングか? ーリソグラフィ技術の最新動向ー WG5 ( リソグラフィ Working Group) 富士通セミコンダクター ( 株 ) 千々松達夫 - 内容 - WG5 の活動体制 EUV か トリプルパターニングか その他のトピックス まとめ STRJ WS: March 8, 2013, WG5 Litho 1 WG5( リソグラフィ WG) の活動体制

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

メタルバンドソー

メタルバンドソー Metal Band Saw Blades Tornado Series selection TiCN HSS Co FAX FMX PM VL Selection Chart Selection Chart Solids Selection Teeth 3 note 1) Structurals, Tubing H section steels Light gauge steels Tube 4

More information

LM2940

LM2940 1A 3 1A 3 0.5V 1V 1A 3V 1A 5V 30mA (V IN V OUT 3V) 2 (60V) * C Converted to nat2000 DTD updated with tape and reel with the new package name. SN Mil-Aero: Order Info table - moved J-15 part from WG row

More information

206“ƒŁ\”ƒ-fl_“H„¤‰ZŁñ

206“ƒŁ\”ƒ-fl_“H„¤‰ZŁñ 51 206 51 63 2007 GIS 51 1 60 52 2 60 1 52 3 61 2 52 61 3 58 61 4 58 Summary 63 60 20022005 2004 40km 7,10025 2002 2005 19 3 19 GIS 2005GIS 2006 2002 2004 GIS 52 2062007 1 2004 GIS Fig.1 GIS ESRIArcView

More information

Thread Mill Series

Thread Mill Series Thread Mill Series Index Go to the following for the quick tool search. Guide to Icons Tool Materials Helix Angle Shank Tungsten Carbide Cobalt HSS Helix angle of flute for cutters Tolerance for shank

More information

PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM

PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM PRODUCT INFORMATION Highly Efficient FXS Carbide Ball Nose End Mills Vol. 3 PAT.P. FXS-EBT FXS-LS-EBT FXS-PC-EBT FXS-EBM 3 Flutes Series Features Thanks to 3 flutes ball nose geometry, all of that reach

More information

01 23A1-W-0012.indd

01 23A1-W-0012.indd Electrical Equipment for High Speed Rolling Stock 23A1-W-0012 1 Fuji Electric produces electrical equipment for Shinkansen (bullet) trains, contributing to the famously safe, high-speed operation of Shinkansen

More information

WARNING To reduce the risk of fire or electric shock,do not expose this apparatus to rain or moisture. To avoid electrical shock, do not open the cabi

WARNING To reduce the risk of fire or electric shock,do not expose this apparatus to rain or moisture. To avoid electrical shock, do not open the cabi ES-600P Operating Instructions WARNING To reduce the risk of fire or electric shock,do not expose this apparatus to rain or moisture. To avoid electrical shock, do not open the cabinet. Refer servicing

More information

untitled

untitled SPring-8 RFgun JASRI/SPring-8 6..7 Contents.. 3.. 5. 6. 7. 8. . 3 cavity γ E A = er 3 πε γ vb r B = v E c r c A B A ( ) F = e E + v B A A A A B dp e( v B+ E) = = m d dt dt ( γ v) dv e ( ) dt v B E v E

More information

Research on productivity improvement of business operations for manufacturing, distribution and health-care industries. The research on product and facility life cycle design and management is performed

More information

Development of a Mine in Andes Mountains, Peru by Yumisaburo NURAI The development of Huanzala Mine was started in October 1966 after the completion of prospecting and production began at 500M.T./day crude

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

LINE UP High Speed Carbide End Mills for Aluminum Alloys AERO Series AERO-EXTL For finishing 3-flute, extra long type see p.10 AERO-ETL For finishing

LINE UP High Speed Carbide End Mills for Aluminum Alloys AERO Series AERO-EXTL For finishing 3-flute, extra long type see p.10 AERO-ETL For finishing AERO End Mill High Speed Carbide End Mills for Aluminum Alloys Vol.4! New cutting data on specials! LINE UP High Speed Carbide End Mills for Aluminum Alloys AERO Series AERO-EXTL For finishing 3-flute,

More information

Development of Analysis Equipment for the Reprocessing Plant using Microchips Microchip, Analysis, Reprocessing, Thermal Lens, Uranium, Plutonium Development of Analysis Equipment for the Reprocessing

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 59 No. 2 Nov. 2013 Environmental Resistance Technology of Outdoor Surveillance Camera Takaya Kamimura Izumi Satou Jouji Wada Tamotsu Uchida Yuuya Jikihara Hideki Yasuda

More information

fj111_109

fj111_109 15 1 111 Super Low-Loss / Super High-Density Multi-fiber Optical Connector * * * *2 Katsuki Suematsu Masao Shinoda Takashi Shigenaga Jun Yamakawa *2 *3 *3 Masayoshi Tsukamoto Yoshimi Ono Takayuki Ando

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

Features Features FeaturesThread milling cutters 1

Features Features FeaturesThread milling cutters 1 Thread Milling Cutter Series NEW SIZES Features Features FeaturesThread milling cutters 1 Threading process (view from above) CUTTER Series PLANET Machining Technique Guide to (Tool Specification) Icons

More information

*1 *2 *1 JIS A X TEM 950 TEM JIS Development and Research of the Equipment for Conversion to Harmless Substances and Recycle of Asbe

*1 *2 *1 JIS A X TEM 950 TEM JIS Development and Research of the Equipment for Conversion to Harmless Substances and Recycle of Asbe *1 *2 *1 JIS A 14812008X TEM 950 TEM 1 2 3 4 JIS Development and Research of the Equipment for Conversion to Harmless Substances and Recycle of Asbestos with Superheated Steam Part 3 An evaluation with

More information

LAGUNA LAGUNA 10 p Water quality of Lake Kamo, Sado Island, northeast Japan, Katsuaki Kanzo 1, Ni

LAGUNA LAGUNA 10 p Water quality of Lake Kamo, Sado Island, northeast Japan, Katsuaki Kanzo 1, Ni LAGUNA10 47 56 2003 3 LAGUNA 10 p.47 56 2003 1997 2001 1 2 2 Water quality of Lake Kamo, Sado Island, northeast Japan, 1997 2001 Katsuaki Kanzo 1, Niigata Prefectural Ryotsu High School Science Club, Iwao

More information

- 1 -

- 1 - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - 1 2 1-16 - 2 3 4 5 6 7-17 - 1 2 1 2 3 4-18 - 1 2 3 4 1 2-19 - 1 2 3 1 2-20 - 3 4 5 6 7 1-21 - 1 2 3 4-22

More information

Y-001-c Outward view (IDM-30EX) Block diagram of structure XY Table Y Fine adjustment X Fine adjustment Objective lens Search light Camera Height Z po

Y-001-c Outward view (IDM-30EX) Block diagram of structure XY Table Y Fine adjustment X Fine adjustment Objective lens Search light Camera Height Z po Y-001-c Outward view (IDM-30EX) Block diagram of structure XY Table Y Fine adjustment X Fine adjustment Objective lens Search light Camera Height Z positioning handle Height indicator Touch panel monitor

More information

PALL NEWS vol.126 November 2017

PALL NEWS vol.126 November 2017 PALL NEWS November 2017 Vol.126 PALL NEWS vol.126 November 2017 NEW =2000 9660 41.4 MPa 24 MPa NFPA T2.06.01 R2-2001 CAT C/90/* (1x10 6 0-28 MPa 1x10 6 29 120 C 60 C 450 Pa 340 Pa 1 MPa JIS B 8356-3/ISO

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

IEC :2014 (ed. 4) の概要 (ed. 2)

IEC :2014 (ed. 4) の概要 (ed. 2) IEC 60601-1-2:2014 (ed. 4) (ed. 2) e 2018 4 2 1 1 2 / 1 2.1............... 2 2.2............... 3 2.3.................. 4 3 6 4 6 4.1.................. 6 4.1.1............... 7 4.1.2....... 7 4.1.3............

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Manufacturing Processes Machining Casting Process Welding, Bonding and Cutting Plastic Working Cutting Process Abrasive Machining

Manufacturing Processes Machining Casting Process Welding, Bonding and Cutting Plastic Working Cutting Process Abrasive Machining I Academic English I Lesson 11 Manufacturing Processes Machining Casting Process Welding, Bonding and Cutting Plastic Working Cutting Process Abrasive Machining Machining 1 primary forming process secondary

More information

untitled

untitled 1 2 3 4 5 130mm 32mm UV-irradiation UV-cationic cure UV-cationic cure UV-cationic cure Thermal cationic Reaction heat cure Thermal cationic Cation Reaction heat cure Cation (a) UV-curing of

More information

チョークコイル・リアクタ

チョークコイル・リアクタ THIN TRNSORMRS (MPT TYP) OMSTI(JPN) U S I TYP MPT MPT series are available for the thinner-sized sets and suit to I standard. H MPT I KS5535 KS6435 KS7235 KS7250 KS7270 KS9640 KS9660 KS9680 KS00 75.0 67.0

More information

(1 ) (2 ) Table 1. Details of each bar group sheared simultaneously (major shearing unit). 208

(1 ) (2 ) Table 1. Details of each bar group sheared simultaneously (major shearing unit). 208 2463 UDC 621.771.251.09 : 621.791.94: 669.012.5 Improvement in Cold Shear Yield of Bar Mill by Computer Control System Koji INAZAKI, Takashi WASEDA, Michiaki TAKAHASHI, and Toshihiro OKA Synopsis: The

More information

**編集*

**編集* $ NTN constant velocity joint for automobiles supports the concept of "Fun to Drive" NTN constant velocity joints are used in automotive propeller shafts and half shafts to transmit power from the engine

More information

<30345F90BC96EC90E690B65F E706466>

<30345F90BC96EC90E690B65F E706466> 15 特集 国際宇宙ステーション日本実験棟 きぼう における流体実験 * Space Experiment on the Instability of Marangoni Convection in Liquid Bridge Koichi NISHINO, Department of Mechanical Engineering, Yokohama National University 1 thermocapillary

More information