Microsoft PowerPoint - 01.pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - 01.pptx"

Transcription

1 ディジタル電子回路 電子情報学類講義案内

2 システム全体を考えると全てのシステムは ミクストシグナル ミクストシグナル LSI (Mixed Signal LSI or Analog Mixed Signal LSI)= アナログ情報とディジタル情報の両方を使って処理を行う LSI 無線通信 集積化 Sensor( 撮像素子など ) Digital audio 等で必須の技術 ソフトウェア無線 (Software defined transceiver) Bio-sensor MEMS センサ Vision processor などに発展中 ディジタル信号処理 IF RF 典型的ミクストシグナル LSI 無線 暗号化 NVRAM MCU SRAM センサネットワークトランシーバ ( 金沢大 ) 地上波ディジタル レシーバ ( シャープ ) 3 次元イメージセンサ ( ソニー ) 非接触 IC カード Felica( ソニー ) Mask ROM 2

3 GSM チップの例 Analog Digital RF ベースバンド インタフェース ANT Low Noise Amp. アンテナ共用器 Power Amp. ミキサー シンセサイザ ミキサー 復調器 多重化処理回路 変調器 音声符号復号器 画像符号復号器 (MPEG-4) マイク スピーカ CMOS イメージャ LCD ディジタルデータ Infineon, CMOS 130nm 3

4 パッシブ型センサ RFID の例 ANT Matching Network Rectifier, Regulator ADC Sensor 温度センサ Analog Digital Backscatter Modulator Temperature Data Control Logic RC Oscillator クロック ADC Data out FM0 Encoder ADC out EOC start CRC Encoder Control Logic enable enable POR 500 s S. Nakano et.al., Kanazawa Univ., CMOS 180nm 4

5 DVD チップの例 Analog Digital PCI/ISA Bus, Flash Memory HDD DVD Recorder MPU MPU MPU MPU CPU(MIPS) DSP ROM/GIO Interface PCI/ISA Interface ATA Interface ATA Interface I 2 C Interface UART Timer GPIO Host Interface High Speed Peripheral Peripheral V A 10bit ADC Audio Encoder MPEG2 AV Encoder Microcontroller CPU Bus Stream processor Encryption/ Decryption DMA Audio Proc. MPEG2 Decoder BitBlt DAC Video Scalar/On- Screen Manager/SPD/Noise Reduction/Deinterlace RGB DV Memory Bus 1394 Codec SDRAM Interface NTSC/PAL Encoder Okamoto, et al., ISSCC 2003,CMOS 130nm i-link 5.1ch Audio, SPDIF DDR SDRAM 5

6 ミクストシグナル回路の 2 つの利点 実世界とのインタフェースにより新機能を追加できる 医療 健康 農業 防災 宇宙などの分野で自然界のアナログ情報を直接扱うことができる集積回路が求められている アナログ処理 ディジタル処理 ソフトウエア処理の連携が可能になる アナログ処理 ディジタル処理 ソフトウエア処理は等価 ( 後述 ) であるため 性能を達成するために必要な方式を選択できる ( 柔軟性 処理精度 処理速度 消費電力 ) 6

7 回路設計技術コースの流れ 大学院 組み込みソフトウエア 大規模ディジタルLSI CMOSアナログLSI 組み込みシステム特論 SoC 設計基礎論 ミクストシグナルLSI 工学 4 年前期 ディジタル LSI 設計 集積回路設計及び演習 ミクストシグナル回路 3 年後期 ディジタル回路 集積回路工学第 2 ディジタル電子回路 3 年前期 基礎知識 集積回路工学第 1 シミュレーション 2 年後期 電子回路第 2 及び演習 回路の基礎 2 年前期 回路の基礎 論理回路 電子回路第 1 及び演習 ディジタル アナログ

8 ディジタル電子回路目次 ( 予定 ) 0 講義案内本科目の内容と位置づけ 1 RF/AMS LSI 設計の概要 1.1 アナログ回路の役割と設計フロー 2 アナログ要素回路 2.1 ミクストシグナル回路の階層構造 2.2 要素回路の機能 2.3 OPA と OTA の周波数特性 3 HDL による回路のモデリング 3.1 Verilog-AMS の概要 3.2 Verilog-D による動作モデリング 3.3 Verilog-A による動作モデリング 4 伝達関数の回路表現 4.1 伝達関数と周波数特性 4.2 ブロック図による伝達関数の表現 4.3 離散時間回路の伝達関数 4.4 RCアクティブフィルタ 4.5 SCフィルタ 5 オーバサンプリング コンバータ 5.1 オーバサンプリング 5.2 ノイズシェーピング 5.3 デルタ-シグマADC 5.4 オーバサンプリングDAC 5.5 高次 - ADCとバンドパスデルタ-シグマADC 6 ミクストシグナル シミュレーション 6.1 AMS シミュレーション実習 8

9 講義で扱う設計階層 段階設計内容の例記述方法の例 システムレベル 演算レベルのアルゴリズム フローチャート (HW+SW の全体 ) SW/HW 分割 高級言語 組込みソフトウェア アセンブリ言語 システムレベル HDL 数式 (Matlab など ) サブシステムレベル 動作レベルのアルゴリズム論理レベルのアルゴリズム フローチャート 状態遷移図 Register Transfer Level HDL 本講義 機能ブロックレベル アナログ回路動作モデル論理機能 ブロック図 Analog HDL (Verilog-AMS) 論理回路図 真理値表 状態遷移図 アナログ機能伝達関数 構造記述 HDL Analog HDL (Verilog-AMS) アナログ要素ブロックを含む回路図 トランジスタレベル 基本論理回路 トランジスタ回路図 アナログ要素回路 SPICE ネットリスト レイアウト ( 物理レベル ) レイアウト設計レイアウト図 (GDS-II) ( 注 1) 設計自動化技術 (CAD 技術 ) は全てのステージに関係している 9

10 他科目との関係 高抽象度 コンピュータ アーキテクチャディジタル信号処理伝達関数設計 Verilog-AMS ( 計算機アーキテクチャ ) ( ディジタルシステム論 ) ディジタル電子回路 実際にシステムを設計するには 全ての知識を結合させることが重要 低抽象度 Verilog HDL/VHDL SPICE シミュレーション CMOS ロジック CMOS アナログ回路 CMOS 高周波回路 レイアウト 半導体 ( 主に MOSFET) プロセス技術 ( 概要のみ ) ここはやや難関 ( 集積回路設計及び演習 ) ( 情報システム工学実験第 2) ( 集積回路工学第 1/ 第 2) ( 主に大学院で扱う, 入門編は集積回路工学第 2) ( 集積回路工学第 1) ( 集積回路工学第 1) ( 集積回路工学第 1) 10

11 ディジタル電子回路 の目標 1. アナログ要素回路を利用して各種アナログ機能を実現するための考え方を理解する トランジスタレベルの回路は扱わない 要素機能の組み合わせで設計する 2. 伝達関数から連続時間 離散時間ミクストシグナル回路を設計できる s 変数やz 変数で表された伝達関数から回路を構成する方法を学ぶ 3. OversamplingとNoise shapingの原理を理解し回路設計に応用できるようにする 4. 簡単な信号処理回路のVerilog HDL( ディジタルおよびアナログ ) 記述が読めるようにする 5. ミクストシグナル回路の回路シミュレーションの具体的方法を学ぶ アナログ回路の設計技術者は非常に不足している しかし アナログ回路の知識だけでは最先端の設計はできない ( それでも企業は欲しがるが ) ディジタル システムにアナログ処理を組み込むことで付加価値が生じる (=アプリケーションが絞り込まれる) ことに注意しよう 11

12 ディジタル電子回路を履修するメリットは? 集積回路の専門知識を習得したい人 アナログ ディジタル混載設計技術の入門編となる 集積回路設計技術の全体像を見渡すための基礎知識となる ( 設計分野で頻出する専門用語が多い ) アナログが使える LSI 設計者は非常に少なく アナログ設計の知識が自身の価値を高める効果を持つ 集積回路を専門としない人 アナログ処理とディジタル処理を統一的に理解する視点が得られる PSoC などのリコンフィギャラブルデバイスを利用できるようになる DSP やプログラマブルデバイスの信号処理フローを理解できる ディジタルーオーディオ / ビデオの仕組みや仕様を理解できる アナログ技術が解るシステム技術者は希少であり 当分野の知識を持つこと自体に価値がある ( 学部の電子回路の知識では現代の技術を理解するには不足 ) 先端技術 特に先端的な無線通信およびセンサ技術を理解するための基礎知識が得られる 従来の当該分野の講義との違い アナログ回路のトランジスタレベル設計には立ち入らない (CMOS アナログ回路の設計技術は大学院で扱う ) フローチャート 伝達関数 回路の知識を結びつける HDL 記述と回路記述のミクストランゲージシミュレーションの方法を体験する 12

13 教科書 参考書 教科書 指定なし ( 講義資料を使用する ) 印刷物は配布しないので 事前にダウンロードしておくか講義中にノート PC タブレット等で参照すること ( ただし メモをとる必要あり ) ダウンロードページ ディジタル電子回路 をクリック 参考書 自主課題研究 Bluetooth を利用したスマートフォンアプリの開発 小林一行 / 鈴木郁 これならわかる!PSoC マイコン活用術 ( オーム社 ) A. Handkiewicz, "Mixed-Signal Systems", IEEE Press, ISBN 和保孝夫 / 安田彰著 Σ 型アナログ / デジタル変換器入門 ( 丸善 ) 谷口研二著 LSI 設計のための CMOS アナログ回路入門 (CQ 出版 ) 深山正幸他著 HDL による VLSI 設計第 2 版 ( 共立出版 ) 桜井至, HDL 設計入門 Verilog-AMS 13

14 成績評価法 履修条件等 履修条件 ディジタルシステム論 電子回路第 1 第 2 集積回路工学第 1 の知識を前提とする ( 最低限の知識について講義中に復習を行う ) 成績評価 宿題 実習レポート ( 実習の出席を含む ) 期末試験 ( 持込可 ) により評価する 宿題 30% 実習 30% 期末試験 40% の配点比率とし 合計点数 60% 以上で合格とする 無断で実習レポートや宿題を期限に遅れて提出した場合は 0 点とする 部活動 病気など 本人の責任でないことを第 3 者が証明できる場合は 提出遅れでも正規の提出として扱う 遅れても提出はすること 提出しなかった場合は放棄評価とする 手書きのレポートは受理しません ( 回路図は手書き可 ) 原則として 再試験および保留評価は行わない 入院など 特別な事情がある場合は相談してください 不可抗力により期末試験を受けられなかった人には 追試験を実施する その他 プリントを配布するが 教科書ではないのでプリントだけでは理解が困難である スピードの速い講義を聴きながらメモを取る訓練をすること プリントのバグ報告者には 期末テストにバグ発見点をプラス 変換ミス タイプミス 表記の揺らぎなど 5 点 内容や計算に関するミス 10 点 14

15 質問方法 なるべく講義時間中に質問してください 講義後は 時間がないので簡単な質問しか受け付けられません 込み入った質問についてはオフィースアワーを利用してください 講義実施日 5 限目に教員室 (2B713) で質問を受け付けます ( 但し 出張中は除く ) メールでの質問も受け付けます 回答は Twitter で行います メールアドレス : digi@jaco.ec.t.kanazawa-u.ac.jp Twitter アドレス : 質問をしなくて分からないのは自分の責任です 15

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

橡松下発表資料.PDF

橡松下発表資料.PDF ... TV TV MPEG2 1394 JAVA HTML BML LSI Bluetooth 802.11 Linux PLC Internet ITRON 1. 2. TV -1-2 -3 3. 1. 2. TV -1-2 -3 3. 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 96/9 PerfecTV 98/4 SkyPerfecTV

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7

<6D31335F819A A8817A89C896DA93C782DD91D682A6955C816991E58A A CF8D588CE3817A C8B8F82B382F1817A7 電気電子工学専攻 54001 電磁波特論 2-0-0 電気電子コース EEE.S401 電気電子工学専攻 54002 無線通信工学 2-0-0 電気電子コース EEE.S451 Advanced Electromagnetic Waves ( 電磁波特論 ) Wireless Communication Engineering ( 無線通信工学 ) 旧電磁波特論あるいは旧 Advanced Electromagnetic

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

情報技術論 教養科目 4 群 / 選択 / 前期 / 講義 / 2 単位 / 1 年次司書資格科目 / 必修 ここ数年で急速に身近な生活の中に浸透してきた情報通信技術 (ICT) の基礎知識や概念を学ぶことにより 現代の社会基盤であるインターネットやコンピュータ システムの利点 欠点 それらをふまえ

情報技術論 教養科目 4 群 / 選択 / 前期 / 講義 / 2 単位 / 1 年次司書資格科目 / 必修 ここ数年で急速に身近な生活の中に浸透してきた情報通信技術 (ICT) の基礎知識や概念を学ぶことにより 現代の社会基盤であるインターネットやコンピュータ システムの利点 欠点 それらをふまえ メディアと情報伝達 大野隆士近藤諭 教養科目 4 群 / 選択 / 後期 / 講義 / 2 単位 / 1 年次 教養科目 受講生参加科目 司書資格科目 / 選択 メディアの発展とそれに伴うコミュニケーションへの影響についての理解を通して コミュニケーションや情報伝達がどのように変化してきたのかを学ぶ 方法として 授業内でグループを組み ディスカッション 問題発見 解決法の提示などといったアクティブ

More information

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部システムコア技術統括部 * 1 shimizu.toru@renesas.com * 2 hasegawa.atsushi@renesas.com * 3 hattori.toshihiro@renesas.com

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 2017 年度前期 第 4 回 前回の話 コンピュータバスの構成 データバス I/O (Input/ Output) CPU メモリ アドレスバス コントロールバス コンピュータバスは コンピュータ本体 (CPU) と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である CPU は バス を制御して 複数のデバイス ( メモリや I/O)

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

Microsoft Word - TokyoTechPR _Masu_web.doc

Microsoft Word - TokyoTechPR _Masu_web.doc 平成 27 年 2 月 20 日 報道機関各位 東京工業大学広報センター長 大谷 清 高周波無線給電型の超低電力無線機で多値変調を実現 要点 5.8GHz 帯 113μW で動作する無線送信機に 多値変調を適用 直交バックスキャッタリング回路 により 32QAM,2.5M ビット / 秒を実現 無線機は高周波無線給電技術で生成した電源により動作 概要 東京工業大学フロンティア研究機構の益一哉教授と精密工学研究所の伊藤浩之准教授

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 9 回 1 ビット研究会 オーディオ愛好家が陥りやすい 1 ビットオーディオの誤解に対する 正しい理解と技術解説の提案 2014-06-25 中島千明 的場文平 ( ディジタル オーディオ装置自作愛好家 ) 最初に 本日の発表の目的 前回 2013/12/20 に機器の不調で実演できなかった 1 ビットコンソーシアム公開の 11.2(11.2896) MHz/1 bit 音源をお聴きいただく 余った時間で

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

TH-42/47/55LF6J,TH-42/47/55LF60J

TH-42/47/55LF6J,TH-42/47/55LF60J - + - + 1 2 M3 HDMI HDMI AV OUT HDMI AV OUT DVD DVD 19 3 1 18 4 2 11 12 13 14 15 16 17 18 19 10 DVD VIDEO OUT VCR AUDIO OUT L R RCA-BNC RCA-BNC Y PB PR OUT RGB OUT L R AUDIO OUT RGB DVD DVI-D PC DVI 5

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

01-introduction.ppt

01-introduction.ppt オペレーティングシステム ~ イントロダクション ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2015/04/10 オペレーティングシステム 担当 : 山田浩史 ( やまだひろし ) mail: hiroshiy @ cc.tuat.ac.jp 質問等ありましたら気軽にメールをしてください 専門分野 オペレーティングシステムや仮想マシンモニタといった システムソフトウェア と呼ばれる分野

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

エミフィルによるノイズ対策 アプリケーション編

エミフィルによるノイズ対策 アプリケーション編 .pdf Noise Suppression by EMIFIL Application Guide Application Manual Cat.No.C35-2 .pdf .pdf .pdf 2 .pdf CD-ROM Power Supply CPU Gate Array RAM ROM Driver Driver Driver USB Chip Set Mouse Keyboard Display

More information

表 2 学習 教育到達目標とその評価方法及び評価基準 基準 1(2)(a) 関連分抜粋 学習 教育到達目標の大項目 (A) 人間としての教養を身につける (A) 人間としての教養を身につける (B) 技術者倫理を修得する 学習 教育到達目標の小項目 ( 小項目がある場合記入 ない場合は空欄とする )

表 2 学習 教育到達目標とその評価方法及び評価基準 基準 1(2)(a) 関連分抜粋 学習 教育到達目標の大項目 (A) 人間としての教養を身につける (A) 人間としての教養を身につける (B) 技術者倫理を修得する 学習 教育到達目標の小項目 ( 小項目がある場合記入 ない場合は空欄とする ) 表 2 学習 教育到達目標とその評価方法及び評価基準 基準 1(2)(a) 関連分抜粋 (A) 人間としての教養を身につける (A) 人間としての教養を身につける (B) 技術者倫理を修得する 人間の本質や歴史 及び文化 社会とそれに関わる秩序などについてより深く考察できる 国家間の関係 地球上の人々の相互依存関係について 理解し 説明できる 技術者が社会に対して大きな責任を負っていることを理解し

More information

各学科 課程 専攻別開設授業科目 ( 教職関係 ) 総合情報学科 ( 昼間コース ) 中学校教諭 1 種免許状 ( 数学 ) 高等学校教諭 1 種免許状 ( 数学 ) 代数学 線形代数学第一 2 線形代数学第二 2 離散数学 2 応用代数学 2 オペレーションズ リサーチ基礎 2 数論アルゴリズム

各学科 課程 専攻別開設授業科目 ( 教職関係 ) 総合情報学科 ( 昼間コース ) 中学校教諭 1 種免許状 ( 数学 ) 高等学校教諭 1 種免許状 ( 数学 ) 代数学 線形代数学第一 2 線形代数学第二 2 離散数学 2 応用代数学 2 オペレーションズ リサーチ基礎 2 数論アルゴリズム 免許状取得に必要な履修科目 教育職員免許法施行規則に 左に該当する本学の 履修 高等学校教諭 高等学校教諭 中学校教諭 定める修得を要する科目 開設科目及び単位数 年次 専修免許状 1 種免許状 1 種免許状 教職の意義等に関する科目教職論 2 1 年 2 単位 2 単位 2 単位 教 教育原理 2 1 年 職 に教育の基礎理論に関する科教育心理学 2 1 年 6 単位 6 単位 6 単位 関目 す

More information

産総研 MEMS スキルアップコース 中長期 集中型 先端集積化 MEMS の研究開発を推進している産総研 N-MEMS ファウンドリ ( ウェハ径 200/300mm) において 三次元加工技術 フォトリソグラフィー技術 極小微細加工技術等 MEMS 分野における種々の要素技術を習得する 研究開発

産総研 MEMS スキルアップコース 中長期 集中型 先端集積化 MEMS の研究開発を推進している産総研 N-MEMS ファウンドリ ( ウェハ径 200/300mm) において 三次元加工技術 フォトリソグラフィー技術 極小微細加工技術等 MEMS 分野における種々の要素技術を習得する 研究開発 産総研 Technology CAD (TCAD) 実習初級コース 中級コース 短期型 Technology CAD(TCAD) は 計算機上のシミュレーションにより 所望の機能を持つ半導体素子の構造とその作製条件の最適化を行うことができる技術です 通常 半月から数ヶ月程度かかる半導体プロセスを実行することなく 半導体素子の作製条件を計算機上で導き出すことができます 初級コースは TCAD 初心者を対象として

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

スライド 1

スライド 1 2018 年 6 月 20 日 ( 水 ) 弘前工業高等学校情報技術科見学会 模擬講義 (40 分 09:45~10:30 458 プログラミング室 ) PLD 演習システム体験 弘前大学理工学部電子情報工学科一條健司 サポート学生浅野 (4 年 ) 日下部 (4 年 ) 高室 (4 年 ) 佐藤 ( 修士 2 年 ) 増田 ( 修士 2 年 ) 三ケ田 ( 修士 2 年 ) 印の椅子に着席して下さい

More information

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D>

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D> 第 4 回情報処理技術講義 コンピュータ計算の基本概念 ( 論理ハードウェア ) 60 これはなんだと思いますか? 携帯電話の開発ボードだそうです 61 ソフト開発をする人でも, ハードウェア知識は必要不可欠である コンピュータの最も基本的要素は論理電子回路であるその中でも以下の3 素子が基本となる (AN, ORは組合して作れる ) NOT NAN NOR 注意 :MOS トランジスタによる実現

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~ MATLAB/Simulink による AMS 活用事例 ~Mixed-Signal Library 2.0 のご紹介 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア竹本佳充 2012 The MathWorks, Inc. 1 Agenda 1. AMS 設計活用事例 I. ミックスドシグナルトップダウン設計 II. MATLAB/Simulinkの導入メリット

More information

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装 コンテンツセントリックネットワークにおけるストリームデータ配信機構の実装 川崎賢弥, 阿多信吾, 村田正幸 大阪大学大学院情報科学研究科 大阪市立大学大学院工学研究科 2 発表内容 研究背景 研究目的 ストリームデータ配信機構の設計 ストリームデータのモデル化 コンテンツの名前構造 ストリームデータの要求とフロー制御 ストリームデータ配信機構の実装 動作デモンストレーション 3 コンテンツセントリックネットワーク

More information

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O コンピュータ工学講義プリント (1 月 29 日 ) 今回は TA7257P というモータ制御 IC を使って DC モータを制御する方法について学ぶ DC モータの仕組み DC モータは直流の電源を接続すると回転するモータである 回転数やトルク ( 回転させる力 ) は 電源電圧で調整でき 電源の極性を入れ替えると 逆回転するなどの特徴がある 図 1 に DC モータの仕組みを示す DC モータは

More information

Microsoft Word - 㕒酵镆çfl¨ã•‚å¤‘åŁ£ã‡¤ã…³ã‡¿ã…¼ã…³ã‡·ã……ã…Šã†fl桋僖

Microsoft Word - 㕒酵镆çfl¨ã•‚å¤‘åŁ£ã‡¤ã…³ã‡¿ã…¼ã…³ã‡·ã……ã…Šã†fl桋僖 株式会社ソシオネクスト夏季インターンシップ募集要項 1. 会社紹介株式会社ソシオネクストは S o C (System-on-Chip) の設計 開発および販売を事業とする 2015 年 3 月設立の若い会社です 現在 約 2,850 人の仲間たちが世界 9 拠点で活躍しております 映像 通信 コンピューティング分野における世界トップレベルの技術を核に今日の様々なアプリケーションの進化を支え 人々の豊かな体験の実現に貢献します

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

Microsoft PowerPoint - LogicCircuits01.pptx

Microsoft PowerPoint - LogicCircuits01.pptx 論理回路 第 回論理回路の数学的基本 - ブール代数 http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 本科目の内容 電子計算機 computer の構成 ソフトウェア 複数のプログラムの組み合わせ オペレーティングシステム アプリケーション等 ハードウェア 複数の回路 circuit の組み合わせ

More information

Microsoft PowerPoint - Chap1 [Compatibility Mode]

Microsoft PowerPoint - Chap1 [Compatibility Mode] ディジタル設計 (A1) (Chap. 1) @ F301 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/digital2012/index.html 情報システム学科次世代コンピューティング研究室山下茂 ger@cs.ritsumei.ac.jp 0 目次 1. デジタル回路設計に関する概要の確認 基本的な用語 LSI 設計の流れ LSIの種類 現代用語の基礎知識ともいえます!

More information

電子回路基礎

電子回路基礎 電子回路基礎アナログ電子回路 デジタル電子回路の基礎と応用 月曜 2 時限目教室 :D205 天野英晴 hunga@am.ics.keio.ac.jp 講義の構成 第 1 部アナログ電子回路 (4/7, 4/14, 4/21, 5/12, 5/19) 1 ダイオードの動作と回路 2 トランジスタの動作と増幅回路 3 トランジスタ増幅回路の小信号等価回路 4 演算増幅器の動作 5 演算増幅器を使った各種回路の解析

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Microsoft PowerPoint - 4回 [互換モード]

Microsoft PowerPoint - 4回 [互換モード] 計算機ハードウエア 2018 年度前期第 4 回 前回の話 CPU(SH7145) データバス (32 bit) コンピュータバスの構成 データバス インタフェースデータバス (16 bit) I/O (Input/ put) CPU メモリ I/O アドレスバス (22 bit) メモリ アドレスバス (22 bit) コントロールバス アドレスバス コントロールバス 割り込み信号リセット信号 コンピュータバスは

More information

STRJ WS: March 4, 2003, 設計 TF/PIDS/FEP クロスカット 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男

STRJ WS: March 4, 2003, 設計 TF/PIDS/FEP クロスカット 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男 設計 TF/PIDS/FEP クロスカット報告 低電力 SoC のロードマップ - モバイルマルチメディアへのアプローチ - 設計 TF 主査日立製作所内山邦男 本クロスカットの目的と活動内容 低電力 SoCのロードマップ作成と問題点 技術課題の明確化 (1) モバイルマルチメディアの動向調査 (2) 現状 (0.18um) の低電力 SoCの分析 (3) 低電力 SoC 設計モデルの作成 ( 初期モデル

More information

Slide 1

Slide 1 MSP430 : 革新的な新技術 この資料は 次世代マイコン活用セミナー (2012) から FRAM 部分を抜粋したものです Ferroelectric RAM (FRAM) 1 FRAM 次世代 MCU メモリ 不揮発性 電源が切れてもデータを保持 EEPROM の代替え品として利用可能 高速書き込み / アップデート SRAM のようなパフォーマンスアクセスタイム : ~ 50ns/ バイト

More information

SICE東北支部研究集会資料(2009年)

SICE東北支部研究集会資料(2009年) 計測自動制御学会東北支部第 5 回研究集会 (9.7.5) 資料番号 5- FPGA を用いたステッピングモータの制御に関する検討 Control of a Stepping Motor using FPGA 萩原正基 *, 秋山宜万 *, 松尾健史 *, 三浦武 *, 谷口敏幸 * Masaki Hagiwara*, oshikazu Akiyama*, Kenshi Matsuo*, Takeshi

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード]

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード] 3 次元電磁界シミュレータ (EMPro) と 回路シミュレータ (ADS) との効率的な協調解析事例のご紹介 Page 1 EMPro 2010 3 次元電磁界解析専用プラットフォーム 3 次元形状入力に特化した操作性 Windows & Linux 対応 多くの 3D CAD フォーマットの Import をサポート Fastest, t Highest Capacity 3 次元フルウェーブ電磁界シミュレーション

More information

1 2 2/17

1 2 2/17 1/17 1 2 2/17 ROM ROM 2 CD-ROM CD CD ROM LSI ROM CD-ROM 3/17 3 http://www.pc-view.net/special/000411/ 4/17 4 http://www.pc-view.net/special/000411/page2.html 5 5/17 6/17 7/17 2PS2 6 8/17 7 9/17 8 10/17

More information

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074>

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074> SysML を活用したシステムエンジニアリング オージス総研組み込みソリューション部 1 アジェンダ 概要編なぜシステムエンジニアリングかシステムエンジニアリングとはシステムエンジニアリングとモデリング言語 SysML の特徴実践編機能要求を検討する要求を仕様化する振る舞いを検討する構造を検討する論理ブロックを物理ブロックに割り当てる性能を検討するまとめ 2 概要編 : なぜシステムエンジニアリングか

More information

4 月 東京都立蔵前工業高等学校平成 30 年度教科 ( 工業 ) 科目 ( プログラミング技術 ) 年間授業計画 教科 :( 工業 ) 科目 :( プログラミング技術 ) 単位数 : 2 単位 対象学年組 :( 第 3 学年電気科 ) 教科担当者 :( 高橋寛 三枝明夫 ) 使用教科書 :( プロ

4 月 東京都立蔵前工業高等学校平成 30 年度教科 ( 工業 ) 科目 ( プログラミング技術 ) 年間授業計画 教科 :( 工業 ) 科目 :( プログラミング技術 ) 単位数 : 2 単位 対象学年組 :( 第 3 学年電気科 ) 教科担当者 :( 高橋寛 三枝明夫 ) 使用教科書 :( プロ 4 東京都立蔵前工業高等学校平成 30 年度教科 ( 工業 ) 科目 ( プログラミング技術 ) 年間授業計画 教科 :( 工業 ) 科目 :( プログラミング技術 ) 単位数 : 2 単位 対象学年組 :( 第 3 学年電気科 ) 教科担当者 :( 高橋寛 三枝明夫 ) 使用教科書 :( プログラミング技術 工業 333 実教出版 ) 共通 : 科目 プログラミング技術 のオリエンテーション プログラミング技術は

More information

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~ 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

外出先でもインターネット環境があればデジタルノード局の運用ができる WIRES-X ポータブルデジタルノード機能 ポータブルデジタルノード機能によって 旅行先の宿泊施設 空港 車両 Wi-Fi アクセスポイントなどからワイヤーズエックスのノード局やルームへの接続が可能となり 従来の HRI-200

外出先でもインターネット環境があればデジタルノード局の運用ができる WIRES-X ポータブルデジタルノード機能 ポータブルデジタルノード機能によって 旅行先の宿泊施設 空港 車両 Wi-Fi アクセスポイントなどからワイヤーズエックスのノード局やルームへの接続が可能となり 従来の HRI-200 外出先でもインターネット環境があればデジタルノード局の運用ができる WIRES-X ポータブルデジタルノード機能 ポータブルデジタルノード機能によって 旅行先の宿泊施設 空港 車両 Wi-Fi アクセスポイントなどからワイヤーズエックスのノード局やルームへの接続が可能となり 従来の HRI-200 を接続したフルスペックの楽しみ方に加え より簡易的で機動性の高いノード局の運用を行うことができます ポータブルデジタルノード機能には

More information

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周 トランジスタ増幅回路設計入門 pyrgt y Km Ksaka 005..06. 等価回路についてトランジスタの動作は図 のように非線形なので, その動作を簡単な数式で表すことができない しかし, アナログ信号を扱う回路では, 特性グラフのの直線部分に動作点を置くので線形のパラメータにより, その動作を簡単な数式 ( 一次式 ) で表すことができる 図. パラメータトランジスタの各静特性の直線部分の傾きを数値として特性を表したものが

More information

< 教科に関する科目 > 免許状の種類 中一種 ( 数学 ) 高一種 ( 数学 ) 教育職員免許法施行規則で定める科目 代数学 離散系論 3 オートマトンと言語理論 3 幾何学 位相幾何学概論 計算幾何学 (018 年度廃止 ) ( 1) ビジュアルコンピューティングのための幾何学 応用幾何とトポロ

< 教科に関する科目 > 免許状の種類 中一種 ( 数学 ) 高一種 ( 数学 ) 教育職員免許法施行規則で定める科目 代数学 離散系論 3 オートマトンと言語理論 3 幾何学 位相幾何学概論 計算幾何学 (018 年度廃止 ) ( 1) ビジュアルコンピューティングのための幾何学 応用幾何とトポロ Ⅱ-ⅳ 教職課程 1 教育職員免許状 取得できる免許状の種類中学校教諭一種免許状 ( 数学 ) 高等学校教諭一種免許状 ( 数学 ) 高等学校教諭一種免許状 ( 情報 ) 履修科目 教育職員免許法により 教員免許状を取得するには 取得する免許の種類に応じて 以下に定める所定の単 位数を修得する必要があります 中学校一種 高等学校一種 教科に関する科目 0 0 教職に関する科目 31 3 教科又は教職に関する科目

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

言語プロセッサ2005

言語プロセッサ2005 url: kameken.clique.jp/lectures/lectures2014/compiler2014/ 言語プロセッサ 2014 Language Processors 2014 平成 26 年 9 月 22 日 ( 月 ) 東京工科大学コンピュータサイエンス学部亀田弘之 まずはイントロから なぜ言語プロセッサを学ぶのか? (Why do we study a course 言語プロセッサ?)

More information

AMS Expoコンテンツ

AMS Expoコンテンツ MATLAB /Simulink を活用した電源システム設計フロー紹介 MathWorks Japan アプリケーションエンジニアリング部初井良治 2013 The MathWorks, Inc. 1 内容 MATLAB /Simulink アナログ ミックスドシグナルシステム設計フロー 適用事例 ( アナログ ミックスドシグナル ) システム設計フロー MATLAB /Simulink の利点 MATLAB

More information

CLEFIA_ISEC発表

CLEFIA_ISEC発表 128 ビットブロック暗号 CLEFIA 白井太三 渋谷香士 秋下徹 盛合志帆 岩田哲 ソニー株式会社 名古屋大学 目次 背景 アルゴリズム仕様 設計方針 安全性評価 実装性能評価 まとめ 2 背景 AES プロジェクト開始 (1997~) から 10 年 AES プロジェクト 攻撃法の進化 代数攻撃 関連鍵攻撃 新しい攻撃法への対策 暗号設計法の進化 IC カード, RFID などのアプリケーション拡大

More information

<基礎領域>

<基礎領域> 1. 科目コード 1243 2. 科目名 プログラミング特論 3(Advanced Programming 3) 3. 担当教員 吉田博哉 (Hiroya YOSHIDA) 4. 開講期 2 年次春 1 期 (( 昼 ) 火 3-4 時限 ( 夜 ) 火 6-7 時限 ) 5. 科目の目的 概要 オブジェクト指向言語を用いたアプリケーション開発の基礎知識を身につけることを目的とする Web アプリケーションを開発

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

a.net LePo 利用の手引き

a.net LePo 利用の手引き a.net LePo 利用の手引き ( 教材管理者用 ) 教育推進総合センター 総合情報処理センター 2012 年 9 月 28 日更新 内容はじめに...2 1. ログインページ...3 2. 教材の作成...3 3. 教材へのファイル添付...7 4. 教材の閲覧...8 5. 教材の編集...9 6. コースの作成...10 1 はじめに LePo のアカウント登録をすることによって インターネットに接続できる環境であれば

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電気 電子計測 第 3 回 第 8 章ディジタル計測制御システムの基礎 http://cobayasi.com/keisoku/3th/3th.pdf 今日の学習の要点 ( テキスト P85~P94). 計算機の基本的なしくみを学ぼう 2. 外部機器とのデータのやりとりについて知ろう 3. 計算機によるディジタル計測制御システムの構成法 物理量. 計算機の基本的なしくみを学ぼう ディジタル計測制御システムセンサから得た情報を

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

Microsoft Word - archip.doc

Microsoft Word - archip.doc 131 71 71 71 7 1 71 71 71 71 71 71 7 1 71 71 71 71 71 71 7-1 71 71 71 71 71 71 7-1 71 71 7 1 71 71 71 71 71 71 71 71 71 71 71 71 71 71 7 1 71 71 71 71 71 71 7 1 71 71 71 71 71 71 71 71 71 71 71 71 71 71

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

CANON_IT_catalog_1612

CANON_IT_catalog_1612 Image processing products Hardware /Software MatroxRadient Pro CL 7 HDR-26 HDR-26 Data Clock CC [4] UART Data Clock CC [4] UART Camera Link Interface w/ PoCL Camera Link Interface w/ PoCL Image Reconstruction

More information

科目名情報処理応用担当教員濵田秀二常勤 非常勤実務経験有 対象学年 2 年対象学科環境情報システム学科コース情報プロフェッショナルコース履修時間 60 学修内容 情報処理技術者試験の IT パスポート試験 および 基本情報技術試験 の合格を目指し 過去問題の演習を行う 到達目標 情報処理技術者試験の

科目名情報処理応用担当教員濵田秀二常勤 非常勤実務経験有 対象学年 2 年対象学科環境情報システム学科コース情報プロフェッショナルコース履修時間 60 学修内容 情報処理技術者試験の IT パスポート試験 および 基本情報技術試験 の合格を目指し 過去問題の演習を行う 到達目標 情報処理技術者試験の 科目名情報処理応用担当教員濵田秀二常勤 非常勤実務経験有 対象学年 2 年対象学科環境情報システム学科コース情報プロフェッショナルコース履修時間 60 情報処理技術者試験の IT パスポート試験 および 基本情報技術試験 の合格を目指し 過去問題の演習を行う 情報処理技術者試験の IT パスポート試験 および 基本情報技術試験 の合格を目指す 授業の方法過去問題集による演習を行う 成績の評価期末の筆記試験

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

Microsoft PowerPoint - OS07.pptx

Microsoft PowerPoint - OS07.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 主記憶管理基礎 パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 OS

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

2

2 SLIM DESIGN AV SURROUND RECEIVER NR1608 2 AV 105mm AV NR1608 3D Dolby Atmos DTS:X 4K HDR UHD 8 HDMI 2 3 DVD CD Wi-Fi Bluetooth AirPlay USB Marantz Sound Slim Design Control Center 3 DAC AV NR1608 SLIM

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 20150528 信号処理システム特論 本日の内容 適応フィルタ ( 時間領域 ) 適応アルゴリズム (LMS,NLMS,RLS) 適応フィルタの応用例 適応処理 非適応処理 : 状況によらずいつでも同じ処理 適応処理 : 状況に応じた適切な処理 高度な適応処理の例 雑音抑圧, 音響エコーキャンセラ, 騒音制御など 時間領域の適応フィルタ 誤差信号 与えられた手順に従ってフィルタ係数を更新し 自動的に所望の信号を得るフィルタ

More information

年間指導計画(1A工基)

年間指導計画(1A工基) ( 技術基礎 ) 対象生徒 1A 教科書 単位数 3 副教材 技術基礎 ( 実教出版 ) 数学演習計測工作 単元 学習目標 数学演習 1 2 論理回路オームの法則抵抗の直並列接続テスターの製作 に関する基礎的技術を実験 実習によって体験させ 各分野における技術への興味関心を高め の意義や役割を理解させるとともに に関する広い視野と倫理観をもっての発展を図る意欲的な態度を育てる 学習内容 評価方法 計測工作

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

ムーアの法則に関するレポート

ムーアの法則に関するレポート 情報理工学実験レポート 実験テーマ名 : ムーアの法則に関する調査 職員番号 4570 氏名蚊野浩 提出日 2019 年 4 月 9 日 要約 大規模集積回路のトランジスタ数が 18 ヶ月で2 倍になる というムーアの法則を検証した その結果 Intel 社のマイクロプロセッサに関して 1971 年から 2016 年の平均で 26.4 ヶ月に2 倍 というペースであった このことからムーアの法則のペースが遅くなっていることがわかった

More information

25 4 P P.20

25 4 P P.20 実教出版の教科書 情報 年度用 文部科学省検定済教科書 7 実教 情報 高等学校情報科用 社会と情報 25 平成 文部科学省検定済教科書 最新社会と情報 岡本敏雄 山極 隆 7 実教 情報 高等学校情報科用 最新情報の科学 実教出版 社情 302 岡本敏雄 山極 隆 文部科学省検定済教科書 7 実教 情報 社情 303 高等学校情報科用 最新情報の科学 情報の科学 岡本敏雄 山極 隆 実教出版 情科

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

Microsoft PowerPoint - 1st

Microsoft PowerPoint - 1st コンピュータ概論第 1 回 授業導入 授業導入 コンピュータとは? Computer = 計算機 compute: 動詞 計算する computer: 計算するモノ 算盤 ( そろばん ) 計算尺 電卓 コンピュータ コンピュータ 単なる計算の道具ではない 計算を行う 算盤, 計算尺, 電卓, コンピュータ 計算を高速に行う 電卓, コンピュータ 大量のデータを記憶, 処理する コンピュータ さまざまなデータを処理する

More information

デジタルカメラ用ISP:Milbeaut

デジタルカメラ用ISP:Milbeaut ISP Milbeaut Image Signal Processor: Milbeaut あらまし MilbeautISP Image Signal Processor 20 Mpixel Milbeaut6 MB91696AM MB91696AM Abstract Milbeaut is an image signal processor (ISP) that realizes a digital

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

研究成果報告書

研究成果報告書 様式 C-19 科学研究費補助金研究成果報告書 平成 23 年 5 月 31 日現在 機関番号 :52601 研究種目 : 基盤研究 (C) 研究期間 :2008~2010 課題番号 :20500765 研究課題名 ( 和文 ) 工業高専におけるシステム LSI 設計技術教育システムの開発 研究課題名 ( 英文 ) HDL Logic Circuit Design Laboratory in Tokyo

More information

卒論発表

卒論発表 0 年度 ( 平成 年度 ) 広島市大 卒業研究 実現するアルゴリズムの証明に 注目した ASIP のシステム検証 広島市立大学 情報科学部 情報工学科錦織光輝 ( 高橋隆一指導 ) Mitsuki Nishikori 研究背景 0 年代には Verilog HDL によって仕様を記述し, 論理合成によって回路を実現するスタイルが普及した 検証技術が論理合成に続く技術として期待されている 満たすべき性質をアサーションとして記述することによるシミュレーションでの検証

More information