スライド 1

Similar documents
スライド 1

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

VHDL VHDL VHDL i

Unconventional HDL Programming ( version) 1

VHDL

TECH_I Vol.25 改訂新版PCIデバイス設計入門

Microsoft Word - 実験4_FPGA実験2_2015

論理設計の基礎

main.dvi

デザインパフォーマンス向上のためのHDLコーディング法

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

フリップフロップ

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor


VBI VBI FM FM FM FM FM DARC DARC

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

PeakVHDL Max+Plus VGA VG

Design at a higher level

Power Calculator

Microsoft PowerPoint LC_15.ppt

VLD Kazutoshi Kobayashi

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

Next Generation FPGA Preliminary Training Day Overview, EC/ECP and XP --

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

VHDL

FPGA と LUPO その1

Report Template

RSA FA FA AND Booth FA FA RSA 3 4 5

ECP2/ECP2M ユーザーズガイド

Verilog HDL による回路設計記述

ProVisionaire Control V3.0セットアップガイド

ネットリストおよびフィジカル・シンセシスの最適化

スライド タイトルなし

卒 業 研 究 報 告

COINS 5 2.1

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

<4D F736F F D2091B28BC68CA48B8695F18D902E646F63>

, FPGA Verilog-HDL

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

Compatibility list: vTESTstudio/CANoe

FPGAメモリおよび定数のインシステム・アップデート

Nios II ハードウェア・チュートリアル

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

untitled

Version1.5

Report Template

HardCopy IIデバイスのタイミング制約

Quartus II はじめてガイド - EDA ツールの設定方法

Handsout3.ppt

Chip PlannerによるECO

ModelSim-Altera - RTL シミュレーションの方法

untitled

LSI LSI 2

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

CM1-GTX

starc_verilog_hdl pptx

untitled

PLDとFPGA

TULを用いたVisual ScalerとTDCの開発

2

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

Nios II 簡易チュートリアル

2

Microsoft Word - Win-Outlook.docx

ディジタル電子回路 設計演習課題

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Report Template

回路設計 WEBラボ:10ビットのプチDACをRTLで動かしてみる(おまけソースつき)

LSI LSI

untitled

Quickstart Guide 3rd Edition

Java Platform Debugger Architecture Apache JServ Oracle JVM JPDA JVM Tomcat Oracle JVM... 7

論理回路設計

1

2

SystemC 2.0を用いた簡易CPUバスモデルの設計

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

ML Edinburgh LCF ML Curry-Howard ML ( ) ( ) ( ) ( ) 1

15 Phoenix HTML 15.1 ModestGreeter RAVT web/router.ex web/router.ex : 12 scope "/", ModestGreeter do 13 pipe_through :browser get "/", TopCont

論理回路設計

RT-PCR プロトコール.PDF

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

Microsoft Word - Meta70_Preferences.doc

Quartus II はじめてガイド - EDA ツールの設定方法

1

Ver.1 1/17/2003 2

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Microsoft PowerPointの利用 V 1,1 暫定版


1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

Lab GPIO_35 GPIO

Version1.4

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : : ( )

Q&A目次.PDF

untitled

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co

untitled

untitled

Transcription:

1

1. 2

2. 3

isplever 4

5

6

7

8

9

VHDL 10

VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11

library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity top is port( ); end; architecture behavioral of top is RST,CLK : in std_logic; DOUT : out std_logic component pll_inst port (CLK: in std_logic; RESET: in std_logic; CLKOP: out std_logic; LOCK: out std_logic); end component; signal cnt : std_logic_vector(3 downto 0); signal clk_inst : std_logic; signal lock : std_logic; signal pll_rst : std_logic; begin VHDL pll_rst <= not RST; -- parameterized module component instance PLL_UUT : pll_inst port map (CLK => CLK, RESET => pll_rst, CLKOP => clk_inst, LOCK => lock); process(clk_inst,rst) begin if((rst = '0') or (lock = '0'))then cnt <= (others => '0'); elsif(clk_inst'event and clk_inst = '1')then cnt <= cnt + 1; end if; end process; DOUT <= '1' when cnt = "1010" else '0'; end behavioral; 12

( ) 1. Place & Route Timing Checkpoint Start 2. [Start] ( ) ( ) ( ) 13

14

15

LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY tb_top IS END tb_top; ARCHITECTURE behavior OF tb_top IS BEGIN COMPONENT top PORT( RST : IN std_logic; CLK : IN std_logic; DOUT : OUT std_logic ); END COMPONENT; SIGNAL RST : std_logic; SIGNAL CLK : std_logic := '0'; SIGNAL DOUT : std_logic; uut: top PORT MAP( RST => RST, CLK => CLK, DOUT => DOUT ); CLK <= not (CLK) after 20 ns; 25MHz 10ns RST = 0 RST = 1 process begin end process; END; RST <= '0'; wait for 10 ns; RST <= '1'; wait; 16

17

1.Project Navigator VHDL Functional Simulation With Aldec Active-HDL or VHDL Post-Route Functional Simulation With Aldec Active-HDL or VHDL Post-Route Timing Simulation With Aldec Active-HDL Active-HDL 2.Active-HDL 18

3. Undock wave wave view 19

RST 20

uut uut cnt0 cnt3 Cntl 21

[ ] [Merge Signals] + 22

restart Run restart 23

uut cnt cnt 24

General OK ( Binary ) cnt Properties Binary 25

Display OK Display Height Color Bold Shape Literal Logic High Low Analog 26

General Edit Aliases Signal Alias Editor Alias_name Value Mnemonic cnt Signal Alias Use Alias Signal Alias Editor 27

Waveform editor Zoom Mode 28

Measurement Mode 4.6ns 29

Active-HDL GUI Files Console Active-HDL do -tcl ( ).fado VHDL Functional Simulation do -tcl ( ).xado VHDL Post-Route Functional Simulation do -tcl ( ).xado VHDL Post-Route Timing Simulation do -tcl.vado Velirog Functional Simulation do -tcl. ado Velirog Post-Route Functional Simulation do -tcl.wado Velirog Post-Route Timing Simulation 30

Active-HDL Stimulators Typer Typer Display Paths Apply CLK Type Clock 10MH 31

Signal Breakpoints cnt_3 Simulation Breakpoints 32

-break always : -break when less : Hit count -break when equal : Hit count -break when grater : Hit count -break when multiple of: Hit count 33

run cnt3 = 1 Break run cnt3 = 1 Break 34

Active-HDL Active-HDL View Library_Manager Attach Library *.lib Attach as Global Library 35

VCD VCD Export File Export Waveforms VCD for Verilogdesign : Verilog VCD VCD for VHDL design : VDHL VCD VCD Lattice Power Calucrator VCD 36

JPG BMP GIF PNG File Export Grafics OK 37

FAE 045-470-9841/FAX 045-470-9842 Email kajii@tecstar.macnica.co.jp URL http://www.tecstar.macnica.co.jp/ 38

Revision History 39