Microsoft PowerPoint - 3.3タイミング制御.pptx

Similar documents
スライド 1

<91E63589F161>

HW-Slides-05.ppt

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

ソフトウェア基礎技術研修

スライド 1

スライド 1

VLSI工学

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

スライド 1

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

Microsoft PowerPoint LC_15.ppt

スライド 1

HW-Slides-04.ppt

ソフトウェア基礎技術研修

Microsoft Word - 実験4_FPGA実験2_2015

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D>

Microsoft PowerPoint - 4.CMOSLogic.ppt

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

PowerPoint プレゼンテーション

スライド 1

計算機アーキテクチャ

Microsoft PowerPoint pptx

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

スライド 1

Microsoft PowerPoint - 7.Arithmetic.ppt

untitled

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

TULを用いたVisual ScalerとTDCの開発

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc)

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

VelilogHDL 回路を「言語」で記述する

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤

「電子政府推奨暗号の実装」評価報告書

首都大学東京 新技術説明会 日時 : 平成 27 年 9 月 25 日 ( 金 ) 場所 :JST 東京別館ホール ノイズ耐性フリップフロップの開発と 信頼性要求電子機器への応用可能性 首都大学東京システムデザイン研究科情報通信システム学域 教授 三浦幸也

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

フリップフロップ

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

6. パイプライン制御

Microsoft PowerPoint - 11Web.pptx

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

スライド 1

Microsoft Word - QEX_2014_feb.doc

プログラマブル論理デバイス

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

Microsoft PowerPoint - Sol7 [Compatibility Mode]

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Microsoft PowerPoint - 11.ppt

Microsoft PowerPoint pptx

Microsoft PowerPoint - 6.memory.ppt

ディジタル電子回路 設計演習課題

Virtex-6 Clocking

TC74HC109AP/AF

0630-j.ppt

Microsoft PowerPoint - LogicCircuits11note.ppt [互換モード]

Microsoft PowerPoint - 2-4_matsunaga

TC74HC112AP/AF

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

ターゲット項目の設定について

AKI-PIC16F877A開発キット (Ver1

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

< B8CDD8AB B83685D>

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

スライド 1

コンピュータの仕組み(1)ハードウェア

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Microsoft Word - AK8133_MS0930_J_05.doc

スライド 1

ブロック図 真理値表 入力出力 OUTn (t = n) CLOCK LATCH ENABLE SERIAL-IN OUT 0 OUT 7 OUT 15 SERIAL OUT H L D n D n D n 7 D n 15 D n 15 L L D n No Change D n 15 ( 注 )

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

TC74HC4017AP/AF

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

オペアンプの容量負荷による発振について

ブロック図 真理値表 STEP CLOCK LATCH ENABLE SERIAL IN OUT 0 OUT5 OUT 7 SERIAL OUT 1 UP H L D n D n D n 5 D n 7 D n 7 2 UP L L D n+1 No change D n 6 3 UP H L D

スライド 1

Microsoft Word - 論理回路10.doc

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

Verilog HDL による回路設計記述

HardCopy IIIデバイスの外部メモリ・インタフェース

Microsoft PowerPoint - ar10_08.ppt

Stratix IIIデバイスの外部メモリ・インタフェース

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o

計算機ハードウエア

スライド 1

Microsoft PowerPoint LC_7.ppt

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

テクニカルガイド「増設メモリ」(2006/09/15)

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック

Transcription:

3.3 タイミング制御 ハザードの回避

同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2

3.3.1 ハザード 3

1 出力回路のハザード 2 入力以上の組合せ回路は遅延時間が経過するまで 誤った演算結果 ( ハザード ) が出力される a b c 0 0 T=0 1 0 0 1 遅延を考慮しない場合の c の値 inv 0 T=0 1 1 1 1 0 inv の遅延 and T=0 and の遅延 真理値表 a b c 0 0 0 0 1 0 1 0 1 1 1 0 0 1 0 ハザード inv の遅延 4

多出力回路のハザード 出力端子毎に遅延時間が異なる 組合わせ回路 正しい値 ハザード (Hazard) 正しい値 5

ハザードを避ける方法 順序制御 ( 重要 ) クロックによる同期回路を構成すると 組合せ回路が出すハザードを取り除くことができる 論理合成を行う回路は原則としてクロックによる同期回路となる a in b in -FF -FF b a 組合せ回路 b c c -FF clk c out a clk a in b in clk clk a b clk c 0 0 ハザード c out 0 0 6

応用例 ( レジスタ ) 入出力レジスタパイプラインレジスタ命令 アドレスレジスタ 演算回路 ( 組合せ回路 ) の動作をクロックに同期させる働き CLK CLK N-1 N-2 1 0 CLK CLK CLK -FF -FF -FF -FF CLK N X REG N Add Y REG 入力レジスタ N-1 N-2 N bit Register 1 0 REG Z 出力レジスタ ( 結果を 1 周期保持する ) 加算器 (Add) のハザードを取り除く 7

( 参考 ) レジスタファイルと SRAM メモリ機能を実現するには 2 種類の方法がある レジスタファイル レジスタを多数並べて address でアクセスするレジスタを指定する HL が書ければ論理合成により作成できるが 回路の面積が大きいため数 kbit 程度まで SRAM(Static Random Access Memory) 通常は メーカから供給された部品 ( コア ) をチップ上に配置して利用する SRAM は自分でも設計できるが 論理合成ができないためトランジスタレベルの回路設計に関する知識が必要 レジスタ ファイルまたは SRAM コア data_in address wr_en rd_en clk Single-Port Memory data_out wr_en =1 : 書き込みモード rd_en =1 and wr_en =0 : 読み出しモード rd_en =0 and wr_en =0 : 出力は変化しない 8

3.3.2 クロック周波数 9

最大遅延制約 1 REG X1 F(x) 組合せ回路 X2 REG 2 X1 入力から X2 出力までに t d の遅延時間 (= ハザードのない正しい結果が出力されるまでの時間 ) がある ( 最も t d の長い信号伝達経路をクリティカルパスと呼ぶ ) CLK 1 X1 X2 CLK 0 1 2 3 0 1 2 3 F(0) F(1) F(2) 常に 1 周期後に演算結果が出力される 2 X td td F(0) F(1) F(2) ただし t d < T clk でなければならない T clk T clk 10

クリティカルパスを決める要因 R E G 組合せ回路 t d R E G CLK 組合せ回路の中で最も入出力間の遅延時間が長い経路 =クリティカルパス クリティカルパスの遅延時間は t d < 1/f clk = T clk でなければならない 同期式回路では クリティカルパスの遅延が 最高クロック周波数を決定していることに注意この経路が危険ゲート段数が多い クリティカルパスを決める要因 ゲート段数が多い 途中の配線のファンアウト数が大きい 配線が長い ( これは配置配線してみないとわからない ) ファンアウト数が多い 11

クロックスキュー 入力レジスタと出力レジスタの間の CLK タイミングのずれ = クロックスキュー t skew 組合せ回路 CLK R E G t d t skew R E G t d < t skew : 同じクロックエッジで演算結果を出力してしまう 実際には 1サイクル後のクロックエッジで処理結果を出力しなければならないので エラーとなる この状態は レーシング ( クロックと処理の競合 ) と呼ばれる 12

レーシングの回避 1 1 組合せ回路 2 2 R E G t d R E G -t skew CLK t d > 0 > -t skew となるため レーシングを起こさない 実際には -FF の CLK 端子 - 出力端子間の遅延 ハザードの発生期間 レジスタのホールドタイムなども考慮する必要がある 詳しくは 3.3.3 節を参照 13

タイミングチャートのまとめ T clk > t d -t skew t d > t skew ( 正常 ) T clk > t d -t skew t d < t skew ( エラー ) [ 注 ] スライド 13 の回路では t skew は負 本来は 1 サイクル後で出力 14

クリティカルパスの短縮方法 ゲート段数の短縮 A B A B 4 段 = A B A B 正論理と負論理を使い分ける = ド モルガンの定理の記号表現 ( 注 ) クリティカルパスの最適化は論理合成ツールが行う 人手で行うことは危険 2 段 15

STA (Static Timing Analysis) クリティカルパスをゲート段数とファンアウト数から求める手法は STA (Static Timing Analysis) と呼ばれる STA は論理シミュレーションや回路シミュレーションをしなくてもクリティカルパスの遅延時間が算出できるので短時間で実行できる クリティカルパスを短くするような回路を合成するために 論理合成の際に使用される STA では ゲートの遅延時間と配線の遅延時間 ( 第 7 章で扱う ) を別々に求める ゲートの遅延時間は各ゲートの実測値から求められる ( 半導体メーカが測定 ) 配線の遅延時間は配線長と遅延時間の関係を統計的に求めた遅延モデル ( 半導体メーカが作成 ) を利用する 16

3.3.3 タイミング制約の詳細 17

同期式回路のタイミング (1) セットアップタイムに対する制約 組合せ回路 1 1 2 2 R R E t E G d t s G t dff : t d : t skew : t s : t dff clk t skew クロックは出力レジスタ側から入力レジスタ (-FF) の遅延時間クリティカルパスの遅延時間クロックの配線遅延 ( クロックスキュー ) [ 注 ]3.3.2 節と向きが逆セットアップタイム T C T C > t dff + t d + t skew + t s の場合に正しい結果が得られる 組合せ回路が大きい回路では t d が支配的クロックが高速な回路では t skew にも注意が必要 18

同期式回路のタイミング (2) ホールドタイムに対する制約 組合せ回路 1 1 2 2 R R E t E G haz t h G t dff t skew t dff : レジスタ (-FF) の遅延時間 t haz : ハザードが出始める最短の時間 t skew : クロックの配線遅延 ( クロックスキューと呼ばれる ) t h : ホールドタイム clk t h < t dff + t haz + t skew の場合に正しい結果が得られる t skew < 0 ( 入力レジスタ側からクロックを入力 ) の場合は危険 この条件による誤動作をクロックと信号の レーシング と呼ぶ 19

タイミングチャート ( 詳細 ) T C clk( 入力側 ) clk( 出力側 ) t s t h t skew 1 t dff 1 2 td hazard t s t h t s t h t haz t dff 2 タイミング余裕がなくなると誤動作する 2 の変化がこれより速くなると誤動作する 20

3.3.4 クロックの生成 21

基準周波数 コルピッツ発振回路のインダクタLを水晶振動子に置き換えたもの 水晶振動子は特定の周波数で非常にが大きいインダクタとして動作 水晶振動子のは100000 以上 共振周波数の精度は6 桁以上 ディジタル回路の基準クロック 時間の基準周波数 (32.768kHz) 無線通信回路の基準周波数 ( 各種 ) オーディオのオーバサンプリングクロック (5.6448MHz) L C2 + - 反転増幅回路 コルピッツ発振回路 C1 反転増幅回路 制限抵抗 水晶発振回路 ( 水晶 / セラミック振動子は L として働く ) 22

基準クロックと内部クロック LSI 外部と内部の回路全体の動作タイミング基準となるクロックを与える 外部クロック発生回路に周波数が正確な水晶発振回路やそこそこ周波数が正確で安価なセラミック発振回路が使用できる 外部クロックは 1MHz~100MHz 程度と周波数が低いため 高速集積回路内部にはクロック周波数を定数倍する PLL または LL という回路を置く 低速で動作させる回路には クロック周波数を 1/ 整数 にする分周回路を使用してクロック周波数を下げることができる ( 次スライド ) 水晶 / セラミック発振回路基準クロック f ref LL or PLL f clk = N f ref 内部クロック PLL: Phase Locked Loop LL: elay Locked Loop 高速ロジック LSI 内部 23

2 i 分周回路 CLR Clear (Reset) CLK1 CLK2 T C 2T C CLK4 4T C CLK8 8T C 24

練習問題 (1) 遅延時間から最高クロック周波数を求めよ 25 回路例 1 Clock Clock 回路例 2 回路例 3 回路例 4 td EXOR = 2ns td EXOR = 2ns

練習問題 (2) (1) 5.6448MHz のクロックで音声データのサンプリングを行うとき C のサンプリング周波数 44.1kHz( ナイキストレート ) に対して何倍オーバサンプリングとなるか (2) 32.768kHzの水晶発振回路から1 秒周期のクロックを作り出すために 何分周する分周回路を通せばよいか ヒント T N 2 1 f CLK (3) スライド24の分周回路の出力は バイナリカウンタ ( デクリメントカウンタ ) と同じとなるが 実際には カウンタとしては使用しない その理由は何か 26