-8 Conventional unstacked Latch Stacked Latch Alpha partcle Heavy ion Gate High-energy neutron CS [cm 2 /bit] -9 - / / 25 - No Error Liner

Size: px
Start display at page:

Download "-8 Conventional unstacked Latch Stacked Latch Alpha partcle Heavy ion Gate High-energy neutron CS [cm 2 /bit] -9 - / / 25 - No Error Liner"

Transcription

1 FDSOI FDSOI 3 65 nm FDSOI 25 nm 35 nm 4 MeV-cm 2 /mg 6 MeV-cm 2 /mg NMOS PMOS 6 MeV-cm 2 /mg 3D 6 MeV-cm 2 /mg Evaluation of a Radiation-Hardened Structure for Stacked Transistors in FDSOI Processes by Device Simulations Kodai Yamada Jun Furuta Kazutoshi Kobayashi Abstract: According to process scaling, soft errors become a significant issue to threaten the reliability of semiconductor chips. In this paper, we evaluate soft-error tolerance of a stacked structure by heavy-ion irradiation tests and propose a radiation-hardened structure to reduce sensitive range (RSR) of a stacked structure for FDSOI. We fabricate three latches which have different distance between stacked transistors in a 65 nm FDSOI process. Experimental results reveal that the stacked structures from 25 nm to 35 nm distances are weak against a radioactive particle hit with more than 6 MeV-cm 2 /mg liner energy transfer (LET). We evaluate radiation hardness of the proposed RSR structure by device simulations. The diffusion layers between two series-connected NMOS and PMOS transistors in the RSR structure are shorted by a metal wire to wipe out generated holes through the metal quickly after a particle hit. It reveals that the stored value of the latch with the RSR structure does not upset even by a heavy ion hit with LET of 6 MeV-cm 2 /mg. Thus, the RSR structure has enough tolerance to use even if in outer space.. (LSI) [] LSI Department of Electronics, Kyoto Institute of Technology LSI FF (Flip-Flop) TMR 28 Information Processing Society of Japan 85

2 -8 Conventional unstacked Latch Stacked Latch Alpha partcle Heavy ion Gate High-energy neutron CS [cm 2 /bit] -9 - / / 25 - No Error Liner Energy Transfer [MeV-cm 2 /mg] [8]. (triple modular redundancy) [2] DICE (dual interlocked storage cell) [3]., FDSOI (fully-depleted silicon on insulator) [4][5] DICE DICE 9 nm 4 nm.5 [6][7] [8] LET (liner energy transfer) 65 nm FDSOI DICE 65 nm FDSOI,, LSI Drain Source Nuclear reaction LSI 2 α 2 Si α 6 MeV-cm 2 /mg 6 MeV-cm 2 /mg 2.2 SEU (single event upset) FF SRAM SEU SET (single event transient) SET SRAM NMOS, PMOS., PMOS NMOS [8] OFF NMOS FDSOI FDSOI [9] BOX (Buried Oxide) BOX BOX 28 Information Processing Society of Japan 86

3 DAシンポジウム Particle hit Gate Source Drain PMOS Particle hit Gate Source Drain Stacked FF (D = 35 nm) : 2, bits Stacked FF (D = 3 nm) : 2,48 bits Stacked FF (D = 25 nm) : 2,48 bits NMOS bulk P-well Tri-state INV. Stacked INV. BOX layer N-well D 3 mm FDSOI 3 mm 図 3 デバイス内に放射線が突入した時の様子 D Tri-state INV. Stacked INV. 図 5 スタック構造におけるトランジスタ間の距離依存性を評価する ためのテストチップ トランジスタ間の異なる 3 種のスタッ D ク構造を含むラッチが約 2, 段ずつ搭載されている [] Output Share BOX layer た D を 25 nm から 35 nm に広げることにより クリ プトンイオン (Kr イオン: 4.9 MeV-cm2 /mg) を照射角度 6 で照射した場合のソフトエラー率を 9%低減させた 文献 [] では Kr イオンを照射することで耐性が向上する ことを確認したが ここではさらに高いエネルギーを持つ キセノンイオン (Xe イオン: 67.2 MeV-cm2 /mg) を照射す 図 4 スタック構造の回路図とスタック構造の NMOS トランジスタ の断面図 D はトランジスタのチャネル間距離 ることで宇宙用デバイスに求められる耐性を満たすか実測 で確認する D が 25 nm 3 nm 35 nm のスタック構 造を用いたインバータとトライステートインバータで構成 に強い [] しかし FDSOI デバイスでは発生した正孔 がボディーの電位を上昇させ ドレインとソース間が ON 状態になることでソフトエラーを引き起こす この現象を 寄生バイポーラ効果と呼ぶ 図 3 にバルクと FDSOI に放 される 3 種類のラッチが約 2, 段ずつ搭載されている 評価に用いたテストチップとレイアウトを図 5 に示す 文 献 [] と異なり保護膜の影響を無視するため チップ上の 保護膜を取り除いて評価した 射線が突入した様子をそれぞれ示す 本稿で使用するテス トチップは BOX 層が nm の 65 nm thin BOX FDSOI プロセスで製造した スタック構造 3. 重イオン照射測定による評価および結果 重イオン照射測定は量子化学技術研究開発機構の TIARA (Takasaki ion accelerators for advanced radiation applica- FDSOI ではトランジスタを縦積にしたスタック構造が tion) で実施した 実測で照射した重イオンの核種はアルゴ 寄生バイポーラ効果対策として有効である [4] スタック ンイオン (Ar イオン: 7.5 MeV-cm2 /mg) Kr イオン, Xe 構造を用いたインバータに放射線が突入した様子を図 4 に イオンの 3 種類である 宇宙航空研究開発機構 (JAXA) が 示す 通常のインバータでは OFF 状態の NMOS トランジ 保有する直径 9 mm のシングルイベント評価チャンバを スタに放射線が突入しボディ電位が上昇すると 寄生バイ 用いて真空状態で実施した 重イオン照射測定で得られる ポーラトランジスタが ON し出力と GND が導通する そ ソフトエラー率は CS (Cross Section : 衝突断面積) を用い のため 出力が から に変化しソフトエラーを引き起 て評価する CS とは放射線が通過した場合 保持値が反 こす 一方で スタック構造の片方の OFF 状態の NMOS 転する面積のことである 式 に衝突断面積の算出式を示 トランジスタに放射線が突入しても もう一方は OFF 状 す [2] 計算には 観測ソフトエラー数 (Nerror ) 単位面 態のままのため出力が大きく変化しない スタック構造で 積当たりのイオン総数 (Nion ) FF の搭載総数 (NFF ) 使用 はオーバーヘッドを小さくするために縦積トランジスタ する の拡散層を共有できる 多重化回路と比べて面積 電力の オーバーヘッドが小さい 3. スタック構造の脆弱性 CS [cm2 /bit] = Nerror Nion NFF () 電源電圧.8 V で重イオン照射測定結果を図 6 に示す 文献 [] と同様に Kr イオン照射時には D が 25 nm の場 図 に示すように重イオンの LET 値が大きくなるにつ 合と比べ 35 nm では約 7 倍高い耐性を示したが Xe イ れて縦積トランジスタ直下の寄生バイポーラが同時に ON オン照射時にはほとんど差が見られなかった このことか することで スタック構造でもソフトエラーが発生する ら スタック構造のトランジスタ間距離を 25 nm から 35 図 4 内の縦積トランジスタ間の距離 D を変更することで高 nm まで広げても 67.2 MeV-cm2 /mg の重イオンではソフ いソフトエラー耐性を有することを文献 [] で明らかにし トエラー抑制効果が弱まる c 28 Information Processing Society of Japan 87

4 6 CS [cm 2 /bit] Stacked Latch_25 nm Stacked Latch_3 nm Stacked Latch_35 nm Ar Kr Xe 65 nm FDSOI 3 7 RSR (reduction sensitive range) RSR (reduction sensitive range) 7 NMOS PMOS 4.2 Synopsys Sentaurus.. TAT. LET.. 8 RSR N = OFF N = (b) (a) RSR Inverter 3D Model T3 T2 T T2 T 8 NMOS 3D.8 V (a) (b). T T2 6 MeV-cm 2 /mg NMOS 3D 65 nm Thin-BOX FDSOI 3D 8% [5] (a) T 9 (a) 6 MeVcm 2 /mg T ON SET PMOS T3 SET 56% T3 PMOS SET Vth SET (b) T2 9 (b) 6 MeV-cm 2 /mg T2 ON T2 5.,. 5. L ( ) L2 ( RSR ) 4 NMOS 3D LET. MeV-cm 2 /mg LET 28 Information Processing Society of Japan 88

5 9 Volatage [V] Particle Hit 56 % N = % Time [ns] LET = 6 MeV-cm 2 /mg T3 T2 T (a) T PMOS Volatage [V] PBE does not cause a bit flip N = Time [ns] = LET = 6 MeV-cm 2 /mg T3 T2 T (b) T2 (Parasitic Bipolar Effect) 6 MeV-cm 2 /mg N = N = Stacked Inverter L L2 = RSR Inverter L: L2: RSR NMOS 3D.8 V LET 5.2 L L2 RSR LET 5 MeV-cm 2 /mg RSR 6 MeV-cm 2 /mg NMOS PMOS LET LET [MeV-cm 2 /mg] 5. RSR 6. RSR LET LET 2 RSR 6 MeV-cm 2 /mg X = ±.3 um ps X = 2 9 cm 3 RSR 2 ps.8 9 cm 3 RSR 2 RSR nm FDSOI FDSOI 25 nm 35 nm 4 MeV-cm 2 /mg /7 6 MeV-cm 2 /mg NMOS PMOS RSR 6 28 Information Processing Society of Japan 89

6 Hole density [cm 3 ] Hole density [cm 3 ] Stacked inverter Current [ ma ] Diffuse X [us] ps ps 2 ps 3 ps 4 ps 5 ps ps (a) RSR inverter Output X [um] Output ps ps 2 ps 3 ps 4 ps 5 ps ps (b) RSR 6 MeV-cm 2 /mg X = Hole Current Electoron Current Total Current Particle Hit Time [ ps ] LET = 6 MeV-cm 2 /mg 6 MeV-cm 2 /mg MeV-cm 2 /mg RSR 6 MeV-cm 2 /mg RSR JSPS 5H2677, JP7K4667, ( ).,,,,.. [] G. Moore, Cramming more components onto integrated circuits, Proceedings of the IEEE, pp , 998. [2] D.G. Mavis and P.H. Eaton, Soft error rate mitigation techniques for modern microcircuits, IEEE Int. Rel. Physics Symp., pp , 22. [3] T. Calin, M. Nicolaidis, and R. Velazco, Upset hardened memory design for submicron CMOS technology, IEEE Trans. Nucl. Sci., vol. 43, no.6, pp , Dec [4] A. Makihara, M. Midorikawa, T. Yamaguchi, et al., Hardness-by-design approach for.5 um fully depleted CMOS/SOI digital logic devices with enhanced SEU/SET immunity, IEEE Trans. Nucl. Sci., vol. 52, no. 6, pp , Dec. 25. [5] K. Yamada, H. Maruoka, J. Furuta, et al., Radiationhardened flip-flops with low-delay overhead using PMOS pass-transistors to suppress SET pulses in a 65 nm FD- SOI process, IEEE Trans. Nucl. Sci., early access, 28. [6] T.D. Loveless, S. Jagannathan, T. Reece, et al., Neutron- and proton-induced single event upsets for D- and DICE-flip/flop designs at a 4 nm technology node, IEEE Trans. Nucl. Sci., vol. 58, no. 3, pp. 8 4, Jun. 2. [7] P. Hazucha, T. Karnik, S. Walstra, et al., Measurements and analysis of SER-tolerant latch in a 9-nm dual-vt CMOS process, IEEE Journal of Solid-State Circuits, vol. 39, no. 9, pp , Sept. 24. [8] K. Yamada, H. Maruoka, J. Furuta, et al., Sensitivity to soft errors of NMOS and PMOS transistors evaluated by latches with stacking structures in a 65 nm FDSOI process, IEEE Int. Rel. Physics Symp (IRPS), pp. SE.3. SE.3.5, Mar. 28. [9] D. Kobayashi, K. Hirose, H. Ikeda, and H. Saito, Radiation-induced pulse noise in soi cmos logic, Int l Symposium on Advanced Semiconductor-on-insulator Technology and Related Physics, May 2. [] P. Roche, J.L. Autran, G. Gasiot, and D. Munteanu, Technology downscaling worsening radiation effects in bulk: SOI to the rescue, IEEE Int. Electron Devices Meeting, pp , Dec. 23. [],,, et al., FDSOI, (VLSI ), VLD27-3, pp , Feb. 28. [2] J.S. Kauppila, T.D. Loveless, R.C. Quinn, et al., Utilizing device stacking for area efficient hardened SOI flipflop designs, IEEE Int. Rel. Physics Symp (IRPS), pp. SE.4. SE.4.7, Jun Information Processing Society of Japan 9

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI)

DA DA シンポジウム DAS25 Design Automation Symposium 25/8/26 Gate Gate Source n Drain n Source n BOX Drain n 2 SOI 2 3 TCAD 4 PHITSTCAD (LSI) DA DA シンポジウム 25 27 DAS25 Design Automation Symposium 25/8/26 28nm UTBB FDSOI SOI 28nm UTBB FDSOI Analysis of Soft Error Rates in a 28nm UTBB FDSOI Structure by DeviceLevel Simulation Shigehiro Umehara

More information

DA DA シンポジウム DAS2015 Design Automation Symposium 2015/8/26 65nm FD-SOI SOI (Sillicon On Insulatar) 65nm FD-SOI (Fully-Depleted SOI) 1.4

DA DA シンポジウム DAS2015 Design Automation Symposium 2015/8/26 65nm FD-SOI SOI (Sillicon On Insulatar) 65nm FD-SOI (Fully-Depleted SOI) 1.4 65nm FD-SOI SOI (Sillicon On Insulatar) 65nm FD-SOI (Fully-Depleted SOI).4 FD-SOI 8 FD-SOI Measurements and Evaluations of Soft error induced by Antenna Diode in 65 nm and SOTB Processes Eiji Sonezaki

More information

13-4 和目次.indd

13-4 和目次.indd 1 2 2.1SEE SEUSingle Event Upset SELSingle Event Latchup 245 J. Particle Accelerator Society of Japan, Vol. 13, No. 4, 2016 63 SEBSingle Event Burnout 2.2 64 J. Particle Accelerator Society of Japan, Vol.

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 63 No. 1 May 2017 Development of Simultaneous-Capture Wide-dynamic-range Technology and Global Shutter Technology for Organic Photoconductive Film Image Sensor Masashi

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IWASE Nobukazu TAKAI Haruo KOBAYASHI Takahiro ODAGUCHI

More information

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple

IPSJ SIG Technical Report Vol.2016-CE-137 No /12/ e β /α α β β / α A judgment method of difficulty of task for a learner using simple 1 2 3 4 5 e β /α α β β / α A judgment method of difficulty of task for a learner using simple electroencephalograph Katsuyuki Umezawa 1 Takashi Ishida 2 Tomohiko Saito 3 Makoto Nakazawa 4 Shigeichi Hirasawa

More information

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro TV 1,2,a) 1 2 2015 1 26, 2015 5 21 Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Rotation Using Mobile Device Hiroyuki Kawakita 1,2,a) Toshio Nakagawa 1 Makoto Sato

More information

24 Depth scaling of binocular stereopsis by observer s own movements

24 Depth scaling of binocular stereopsis by observer s own movements 24 Depth scaling of binocular stereopsis by observer s own movements 1130313 2013 3 1 3D 3D 3D 2 2 i Abstract Depth scaling of binocular stereopsis by observer s own movements It will become more usual

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

Fig. 1 Relative delay coding.

Fig. 1 Relative delay coding. An Architecture of Small-scaled Neuro-hardware Using Probabilistically-coded Pulse Neurons Takeshi Kawashima, Non-member (DENSO CORPORATION), Akio Ishiguro, Member (Nagoya University), Shigeru Okuma, Member

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of IEEE802.11 [1]Bluetooth [2] 1 1 (1) [6] Ack (Ack) BEC FEC (BEC) BEC FEC 100 20 BEC FEC 6.19% 14.1% High Throughput and Highly Reliable Transmission in MANET Masaaki Kosugi 1 and Hiroaki Higaki 1 1. LAN

More information

重イオンビームを用いたシングルイベント効果の評価技術

重イオンビームを用いたシングルイベント効果の評価技術 宇宙放射線が半導体に及ぼす 三つの放射線影響 小野田忍 独立行政法人 日本原子力研究開発機構 量子ビーム応用研究部門 半導体耐放射線性研究グループ O N O D A. S H I N O B U @ J A E A. G O. J P TEL: 027-3 4 6-9324 1. 放射線と半導体 1. 半導体を取り巻く放射線環境 2. 半導体に対する三つの放射線影響 発表内容 2. 原子力機構 高崎量子応用研究所

More information

system.pptx

system.pptx 2011/5/11 NAIST CPU CPU 4 (UNIX)# (Windows)#... # (1U, 2U, 4U etc.)# (E-ATX, micro-atx, mini-itx etc.)# # #...# BIOS ROM OS# CD, DVD# n #...# # Bernoulli model: p Gilbert-Elliott model: G: good state#

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

(Microsoft PowerPoint - \224z\225z\227p_\217\343\221\272.ppt)

(Microsoft PowerPoint - \224z\225z\227p_\217\343\221\272.ppt) ソフトエラー評価技術 対策技術の 研究開発戦略 富士通セミコンダクター 上村大樹 Fujitsu Semiconductor LTD. E-mail: uemura.taiki@jp.fujitsu.com Copyright 2008 FUJITSU LIMITED ソフトエラーの 測定技術と対策技術 ソフトエラーについて ソフトエラー評価技術 ソフトエラー対策技術 1 Copyright 2008

More information

電子部品はんだ接合部の熱疲労寿命解析

電子部品はんだ接合部の熱疲労寿命解析 43 Evaluation for Thermal Fatigue Life of Solder Joints in Electronic Components Haruhiko Yamada, Kazuyoshi Ogawa 2 63Sn- 37Pb 95Pb-5Sn Si Cu Si 63Sn-37Pb Since automotive electronic components are used

More information

JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alterna

JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alterna JOURNAL OF THE JAPANESE ASSOCIATION FOR PETROLEUM TECHNOLOGY VOL. 66, NO. 6 (Nov., 2001) (Received August 10, 2001; accepted November 9, 2001) Alternative approach using the Monte Carlo simulation to evaluate

More information

IPSJ SIG Technical Report Pitman-Yor 1 1 Pitman-Yor n-gram A proposal of the melody generation method using hierarchical pitman-yor language model Aki

IPSJ SIG Technical Report Pitman-Yor 1 1 Pitman-Yor n-gram A proposal of the melody generation method using hierarchical pitman-yor language model Aki Pitman-Yor Pitman-Yor n-gram A proposal of the melody generation method using hierarchical pitman-yor language model Akira Shirai and Tadahiro Taniguchi Although a lot of melody generation method has been

More information

揃 24 1681 0 20 40 60 80 100 0 21 42 63 84 Lag [hour] Lag [day] 35

揃 24 1681 0 20 40 60 80 100 0 21 42 63 84 Lag [hour] Lag [day] 35 Forecasting Model for Electricity Consumption in Residential House Based on Time Series Analysis * ** *** Shuhei Kondo Nobayasi Masamori Shuichi Hokoi ( 2015 7 3 2015 12 11 ) After the experience of electric

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076%

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076% 2013 (409812) FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT 6 1000 IPC FabCache 0.076% Abstract Single-ISA heterogeneous multi-core processors are increasing importance in the processor architecture.

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

untitled

untitled 18 2 1 1.1 1.2 2 (SEU) 3 3.1 SEU 3.1.1 Barak 3.1.2 Barak 3.2 Weibull SEU 10 4 SEU 12 4.1 12 4.2 Calvel 15 4.2.1 Barak Barak 15 4.2.2 Barak Barak 19 4.3 USEF 25 4.3.1 USEF Weibull 25 4.3.2 Barak Barak 26

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

日本感性工学会論文誌

日本感性工学会論文誌 pp.343-351 2013 Changes in Three Attributes of Color by Reproduction of Memorized Colors Hiroaki MIYAKE, Takeshi KINOSHITA and Atsushi OSA Graduate School of Science and Engineering, Yamaguchi University,

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

プラズマ核融合学会誌11月【81‐11】/小特集5

プラズマ核融合学会誌11月【81‐11】/小特集5 Japan Atomic Energy Agency, Ibaraki 311-0193, Japan 1) Kyoto University, Uji 611-0011, Japan 2) National Institute of Advanced Industrial Science and Technology, Tsukuba 305-8569, Japan 3) Central Research

More information

Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been s

Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been s Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been studied using a volume constant technique. The process

More information

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm

IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm Neutron Visual Sensing Techniques Making Good Use of Computer Science J-PARC CT CT-PET TB IEEE HDD RAID MPI MPU/CPU GPGPU GPU cm I m cm /g I I n/ cm 2 s X n/ cm s cm g/cm cm cm barn cm thn/ cm s n/ cm

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

パナソニック技報

パナソニック技報 Liquid Crystal Display Technology for Realizing Contrast Ratio of 1 million to 1 Katsuhiro Kikuchi LCDLiquid Crystal Display IPSIn-Plane Switching-LCD 2100:1 IPS-LCDIPS-LCD50 20:1 Realization of Liquid

More information

System to Diagnosis Concrete Deterioration with Spectroscopic Analysis IHI IHI IHI The most popular method for inspecting concrete structures for dete

System to Diagnosis Concrete Deterioration with Spectroscopic Analysis IHI IHI IHI The most popular method for inspecting concrete structures for dete System to Diagnosis Concrete Deterioration with Spectroscopic Analysis IHI IHI IHI The most popular method for inspecting concrete structures for deterioration ( for example, due to chloride attack ) is

More information

パナソニック技報

パナソニック技報 Panasonic Technical Journal Vol. 64 No. 2 Nov. 2018 Optical Disc Archiving System with 100 Years Lifespan of Digital Data Takuto Yamazaki Yasushi Kobayashi Blu-ray Disc 1 Archival Disc 2 3300 GB 10012

More information

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig Mover Design and Performance Analysis of Linear Synchronous Reluctance Motor with Multi-flux Barrier Masayuki Sanada, Member, Mitsutoshi Asano, Student Member, Shigeo Morimoto, Member, Yoji Takeda, Member

More information

( ) [1] [4] ( ) 2. [5] [6] Piano Tutor[7] [1], [2], [8], [9] Radiobaton[10] Two Finger Piano[11] Coloring-in Piano[12] ism[13] MIDI MIDI 1 Fig. 1 Syst

( ) [1] [4] ( ) 2. [5] [6] Piano Tutor[7] [1], [2], [8], [9] Radiobaton[10] Two Finger Piano[11] Coloring-in Piano[12] ism[13] MIDI MIDI 1 Fig. 1 Syst 情報処理学会インタラクション 2015 IPSJ Interaction 2015 15INT014 2015/3/7 1,a) 1,b) 1,c) Design and Implementation of a Piano Learning Support System Considering Motivation Fukuya Yuto 1,a) Takegawa Yoshinari 1,b) Yanagi

More information

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for 1 2 3 3 1 Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for Mobile Terminals Kaoru Wasai 1 Fumio Sugai 2 Yosihiro Kita 3 Mi RangPark 3 Naonobu

More information

DAS27 27/9/ BTI BTI [5] CMOS NMOS PMOS [6] NMOS PMOS NOR BTI 2 BTI 3 BTI 4 BTI Bias Temperature Instability (BTI) BTI BTI 2 NBTI (Negative BTI)

DAS27 27/9/ BTI BTI [5] CMOS NMOS PMOS [6] NMOS PMOS NOR BTI 2 BTI 3 BTI 4 BTI Bias Temperature Instability (BTI) BTI BTI 2 NBTI (Negative BTI) DAS27 27/9/ NOR PMOS 6 NMOS 3 Threshold Voltage Conversion Method of Frequency Fluctuations Using Current Starved Ring Oscillators Ryo Kishida Jun Furuta Kazutoshi Kobayashi Abstract: It has been difficult

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

4.1 % 7.5 %

4.1 % 7.5 % 2018 (412837) 4.1 % 7.5 % Abstract Recently, various methods for improving computial performance have been proposed. One of these various methods is Multi-core. Multi-core can execute processes in parallel

More information

main.dvi

main.dvi FDTD S A Study on FDTD Analysis based on S-Parameter 18 2 7 04GD168 FDTD FDTD S S FDTD S S S S FDTD FDTD i 1 1 1.1 FDTD.................................... 1 1.2 FDTD..................... 3 2 S 5 2.1 FDTD

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

IPSJ SIG Technical Report Vol.2012-HCI-149 No /7/20 1 1,2 1 (HMD: Head Mounted Display) HMD HMD,,,, An Information Presentation Method for Weara

IPSJ SIG Technical Report Vol.2012-HCI-149 No /7/20 1 1,2 1 (HMD: Head Mounted Display) HMD HMD,,,, An Information Presentation Method for Weara 1 1,2 1 (: Head Mounted Display),,,, An Information Presentation Method for Wearable Displays Considering Surrounding Conditions in Wearable Computing Environments Masayuki Nakao 1 Tsutomu Terada 1,2 Masahiko

More information

The Evaluation of LBB Behavior and Crack Opening Displacement on Statically Indeterminate Piping System Subjected to Monotonic Load The plastic collap

The Evaluation of LBB Behavior and Crack Opening Displacement on Statically Indeterminate Piping System Subjected to Monotonic Load The plastic collap The Evaluation of LBB Behavior and Crack Opening Displacement on Statically Indeterminate Piping System Subjected to Monotonic Load The plastic collapse and LBB behavior of statically indeterminate piping

More information

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori Proposal and Characteristics Evaluation of a Power Generation System Utilizing Waste Heat from Factories for Load Leveling Pyong Sik Pak, Member, Takashi Arima, Non-member (Osaka University) In this paper,

More information

1 Web [2] Web [3] [4] [5], [6] [7] [8] S.W. [9] 3. MeetingShelf Web MeetingShelf MeetingShelf (1) (2) (3) (4) (5) Web MeetingShelf

1 Web [2] Web [3] [4] [5], [6] [7] [8] S.W. [9] 3. MeetingShelf Web MeetingShelf MeetingShelf (1) (2) (3) (4) (5) Web MeetingShelf 1,a) 2,b) 4,c) 3,d) 4,e) Web A Review Supporting System for Whiteboard Logging Movies Based on Notes Timeline Taniguchi Yoshihide 1,a) Horiguchi Satoshi 2,b) Inoue Akifumi 4,c) Igaki Hiroshi 3,d) Hoshi

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. E-mail: {ytamura,takai,tkato,tm}@vision.kuee.kyoto-u.ac.jp Abstract Current Wave Pattern Analysis for Anomaly

More information

WMN Wi-Fi MBCR i

WMN Wi-Fi MBCR i 27 WMN Proposal of routing method that improves transmission capability in WMN 1185081 2016 2 26 WMN Wi-Fi MBCR i Abstract Proposal of routing method that improves transmission capability in WMN KOBAYASHI

More information

07_toukei06.dvi

07_toukei06.dvi 2013 61 2 247 256 c 2013 1 1 2 3 4 2013 2 19 7 10 8 19 2011 6 7 64 28 20 35 1. 2011 3 11 14 46 M9 14 m 1 3 Strickland, 2011;, 2012 Leelossy et al., 2011;, 2012 131 134 137 1m µsv/h 1m 2 1 1 734 8551 1

More information

Microsoft PowerPoint - 2-4_matsunaga

Microsoft PowerPoint - 2-4_matsunaga ソフトエラー対策用 EDA ツールの開発 九州大学大学院システム情報科学研究院松永裕介 設計ツールとフローの構築 安浦チーム対象範囲 ディペンダビリティアナライザ アーキテクチャ設計 RTL 設計 論理設計 ディペンダビリティエンハンサ ディペンダビリティアナライザ ディペンダビリティエンハンサディペンダビリティアナライザ ディペンダビリティエンハンサ 評価 解析 評価指標 設計変更 評価 解析 評価指標

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション () 増幅回路の周波数特性 Frequency characteristic of amplifier circuit (2) 増幅回路の周波数特性 Frequency characteristic of amplifier circuit MOS トランジスタの高周波モデル High-frequency model for MOS FET ゲート酸化膜は薄いので G-S, G-D 間に静電容量が生じる

More information

IPSJ SIG Technical Report Vol.2014-CDS-10 No /5/ Intuitive appliance control method based on high-accurate indoor localization system

IPSJ SIG Technical Report Vol.2014-CDS-10 No /5/ Intuitive appliance control method based on high-accurate indoor localization system 1 1 1 1 Intuitive appliance control method based on high-accurate indoor localization system Jun Komeda 1 Yutaka Arakawa 1 Morihiko Tamai 1 Keiichi Yasumoto 1 Abstract: In our home, the increase of appliances

More information

<95DB8C9288E397C389C88A E696E6462>

<95DB8C9288E397C389C88A E696E6462> 2011 Vol.60 No.2 p.138 147 Performance of the Japanese long-term care benefit: An International comparison based on OECD health data Mie MORIKAWA[1] Takako TSUTSUI[2] [1]National Institute of Public Health,

More information

06_学術_関節単純X線画像における_1c_梅木様.indd

06_学術_関節単純X線画像における_1c_梅木様.indd Arts and Sciences X The formulation of femoral heard measurement corrected enlargement ratio using hip joints X-ray Imaging 1 2 1 1 1 2 Key words: Bipolar Hip Arthroplasty (BHA) Preoperative planning Enlargement

More information

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6)

DPA,, ShareLog 3) 4) 2.2 Strino Strino STRain-based user Interface with tacticle of elastic Natural ObjectsStrino 1 Strino ) PC Log-Log (2007 6) 1 2 1 3 Experimental Evaluation of Convenient Strain Measurement Using a Magnet for Digital Public Art Junghyun Kim, 1 Makoto Iida, 2 Takeshi Naemura 1 and Hiroyuki Ota 3 We present a basic technology

More information

3D UbiCode (Ubiquitous+Code) RFID ResBe (Remote entertainment space Behavior evaluation) 2 UbiCode Fig. 2 UbiCode 2. UbiCode 2. 1 UbiCode UbiCode 2. 2

3D UbiCode (Ubiquitous+Code) RFID ResBe (Remote entertainment space Behavior evaluation) 2 UbiCode Fig. 2 UbiCode 2. UbiCode 2. 1 UbiCode UbiCode 2. 2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS HCG HUMAN COMMUNICATION GROUP SYMPOSIUM. UbiCode 243 0292 1030 E-mail: {ubicode,koide}@shirai.la, {otsuka,shirai}@ic.kanagawa-it.ac.jp

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

Fig. 1. Relation between magnetron anode current and anode-cathod voltage. Fig. 2. Inverter circuit for driving a magnetron. 448 T. IEE Japan, Vol. 11

Fig. 1. Relation between magnetron anode current and anode-cathod voltage. Fig. 2. Inverter circuit for driving a magnetron. 448 T. IEE Japan, Vol. 11 High Frequency Inverter for Microwave Oven Norikazu Tokunaga, Member, Yasuo Matsuda, Member, Kunio Isiyama, Non-member (Hitachi, Ltd.), Hisao Amano, Member (Hitachi Engineering, Co., Ltd.). Recently resonant

More information

Kochi University of Technology Aca Title 省 電 力 セルフタイム 回 路 に 関 する 研 究 Author(s) 岩 田, 誠, 宮 城, 桂, 三 宮, 秀 次, 西 川, 博 昭 Citation 高 知 工 科 大 学 紀 要, 10(1): 95-102 Date of 2013-07-20 issue URL http://hdl.handle.net/10173/1082

More information

(Microsoft PowerPoint _RCNP\214\244\213\206\211\357_\214\366\212J\216\221\227\277.ppt)

(Microsoft PowerPoint _RCNP\214\244\213\206\211\357_\214\366\212J\216\221\227\277.ppt) 中性子ソフトエラーの 加速試験評価 富士通セミコンダクター 上村大樹 Fujitsu Semiconductor LTD. E-mail: uemura.taiki@jp.fujitsu.com Copyright 2008 FUJITSU LIMITED Outline ソフトエラーの背景 ソフトエラーの評価方法 中性子ビームによる評価 ソフトエラー対策の評価 1 Copyright 2008 FUJITSU

More information

Laser Ablation Dynamics of Amorphous Film of a Cu-Phthalocyanine Derivative Masahiro HOSODA*,**, Hiroshi FURUTANI*,**. Hiroshi FUKUMURA*,** Hiroshi MASUHARA*, Masanobu NISHII*** Nobuyuki ICHINOSE**,***,

More information

DTN DTN DTN DTN i

DTN DTN DTN DTN i 28 DTN Proposal of the Aggregation Message Ferrying for Evacuee s Data Delivery in DTN Environment 1170302 2017 2 28 DTN DTN DTN DTN i Abstract Proposal of the Aggregation Message Ferrying for Evacuee

More information

日立評論2008年1月号 : 基盤技術製品

日立評論2008年1月号 : 基盤技術製品 Infrastructure Technology / Products HIGHLIGHTS 2008 HDD 2.5 HDD3.5 HDD 1 Deskstar 7K1000 HDD Hard Disk Drive 2006 5 PC 2.5 HDD HDD 3.5 HDD1 1 2007 3Deskstar 7K1000 3.5 HDD 1149 Deskstar 7K500 2 GMR Giant

More information

news

news ETL NEWS 1999.9 ETL NEWS 1999.11 Establishment of an Evaluation Technique for Laser Pulse Timing Fluctuations Optoelectronics Division Hidemi Tsuchida e-mail:tsuchida@etl.go.jp A new technique has been

More information

橡最終原稿.PDF

橡最終原稿.PDF GIS Simulation analysis of disseminate of disaster information using GIS * ** *** Toshitaka KATADAJunsaku ASADA and Noriyuki KUWASAWA GIS GIS AbstractWe have developed the simulation model expressing the

More information

Techniques for Nuclear and Particle Physics Experiments Energy Loss by Radiation : Bremsstrahlung 制動放射によるエネルギー損失は σ r 2 e = (e 2 mc 2 ) 2 で表される為

Techniques for Nuclear and Particle Physics Experiments Energy Loss by Radiation : Bremsstrahlung 制動放射によるエネルギー損失は σ r 2 e = (e 2 mc 2 ) 2 で表される為 Techniques for Nuclear and Particle Physics Experiments.. Energy Loss by Radiation : Bremsstrahlung 制動放射によるエネルギー損失は σ r e = (e mc ) で表される為 質量に大きく依存する Ex) 電子の次に質量の小さいミューオンの制動放射によるエネルギー損失 m e 0.5 MeV, m

More information

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s 1 1 1, Extraction of Transmitted Light using Parallel High-frequency Illumination Kenichiro Tanaka 1 Yasuhiro Mukaigawa 1 Yasushi Yagi 1 Abstract: We propose a new sharpening method of transmitted scene

More information

Visual Evaluation of Polka-dot Patterns Yoojin LEE and Nobuko NARUSE * Granduate School of Bunka Women's University, and * Faculty of Fashion Science,

Visual Evaluation of Polka-dot Patterns Yoojin LEE and Nobuko NARUSE * Granduate School of Bunka Women's University, and * Faculty of Fashion Science, Visual Evaluation of Polka-dot Patterns Yoojin LEE and Nobuko NARUSE * Granduate School of Bunka Women's University, and * Faculty of Fashion Science, Bunka Women's University, Shibuya-ku, Tokyo 151-8523

More information

untitled

untitled 20101221JST (SiC - Buried Gate Static Induction Transistor: SiC-BGSIT) SOURCE GATE N source layer p + n p + n p + n p+ n drift layer n + substrate DRAIN SiC-BGSIT (mωcm 2 ) 200 100 40 10 4 1 Si limit

More information

Neutron yield M.R. Hawkesworth, Neutron Radiography: Equipment and Methods, Atomic Energy Review 15, No. 2, , n µc -1 = n/(µa s) ~10 12 n

Neutron yield M.R. Hawkesworth, Neutron Radiography: Equipment and Methods, Atomic Energy Review 15, No. 2, , n µc -1 = n/(µa s) ~10 12 n Cross Section 7MeV Proton Linac AFRD, LBL (courtesy of Jani Reionen) LINAC SYSTEMS Applied Pulsed Power Plasma Target D+D reaction driven by LASER Neutron yield M.R. Hawkesworth, Neutron Radiography: Equipment

More information

人工知能学会研究会資料 SIG-FPAI-B Predicting stock returns based on the time lag in information diffusion through supply chain networks 1 1 Yukinobu HA

人工知能学会研究会資料 SIG-FPAI-B Predicting stock returns based on the time lag in information diffusion through supply chain networks 1 1 Yukinobu HA 人工知能学会研究会資料 SIG-FPAI-B508-08 - - Predicting stock returns based on the time lag in information diffusion through supply chain networks 1 1 Yukinobu HAMURO 1 Katsuhiko OKADA 1 1 1 Kwansei Gakuin University

More information

SICE東北支部研究集会資料(2014年)

SICE東北支部研究集会資料(2014年) 計測自動制御学会東北支部第 291 回研究集会 (2014 年 10 月 23 日 ) 資料番号 291-12 断熱回路技術を用いた 低消費デジタル PWM 制御回路の設計 Design of low-power digital PWM circuit with adiabatic dynamic CMOS logic 鈴木暖 ( 山形大学 ), 阿部啄也 ( 山形大学 ), 澤田直樹 ( 山形大学

More information

Vol.54 No (July 2013) [9] [10] [11] [12], [13] 1 Fig. 1 Flowchart of the proposed system. c 2013 Information

Vol.54 No (July 2013) [9] [10] [11] [12], [13] 1 Fig. 1 Flowchart of the proposed system. c 2013 Information Vol.54 No.7 1937 1950 (July 2013) 1,a) 2012 11 1, 2013 4 5 1 Similar Sounds Sentences Generator Based on Morphological Analysis Manner and Low Class Words Masaaki Kanakubo 1,a) Received: November 1, 2012,

More information

sumi.indd

sumi.indd S/N S/N CCDCMOS CCD CMOS & E-mail hirofumi.sumi@jp.sony.com & E-mail Tadakuni.Narabu@jp.sony.com & E-mail Shinichiro.Saito@jp.sony.com Hirofumi SUMI, Non - Member and Tadakuni NARABU, Member and Shinichiro

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2 Introduction TEG 2005.10.4 @KEK 1 1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2005.10.4 @KEK 2 SOI 2005.10.4 @KEK 3 SOI : Smart Cut (UNIBOND) by SOITEC Low-R Hi-R 2005.10.4

More information

Instability of Aerostatic Journal Bearings with Porous Floating Bush at High Speeds Masaaki MIYATAKE *4, Shigeka YOSHIMOTO, Tomoaki CHIBA and Akira CH

Instability of Aerostatic Journal Bearings with Porous Floating Bush at High Speeds Masaaki MIYATAKE *4, Shigeka YOSHIMOTO, Tomoaki CHIBA and Akira CH Instability of Aerostatic Journal Bearings with Porous Floating Bush at High Speeds Masaaki MIYATAKE *4, Shigeka YOSHIMOTO, Tomoaki CHIBA and Akira CHIBA Department of Mechanical Engineering, Tokyo University

More information

Tab 5, 11 Tab 4, 10, Tab 3, 9, 15Tab 2, 8, 14 Tab 1, 7, 13 2

Tab 5, 11 Tab 4, 10, Tab 3, 9, 15Tab 2, 8, 14 Tab 1, 7, 13 2 COMPANION 20 MULTIMEDIA SPEAKER SYSTEM Owner s Guide Tab 5, 11 Tab 4, 10, Tab 3, 9, 15Tab 2, 8, 14 Tab 1, 7, 13 2 Tab1, 7, 13 Tab 2, 8, 14 Tab 3, 9, 15 Tab 4, 10, Tab 5, 11 This product conforms to all

More information

土木学会構造工学論文集(2011.3)

土木学会構造工学論文集(2011.3) Vol.57A (11 3 ) RC Consecutve falling-weight impact test of large-scale RC girders under specified total input-impact energy * ** *** **** ***** Norimitsu Kishi, Hisashi Konno, Satoru Yamaguchi, Hiroshi

More information

March 8, 2011 March 8,

March 8, 2011 March 8, March 8, 2011 March 8, 2011 2 March 8, 2011 3 March 8, 2011 4 4 5 March 8, 2011 March 8, 2011 2009 NCTC Report on Terrorism NCTC National Counterterrorism Center 6 2009 NCTC Report on Terrorism March 8,

More information

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt シリコンナノワイヤ pfet における正孔移動度 平本俊郎陳杰智, 更屋拓哉東京大学生産技術研究所 hiramoto@nano.iis.u-tokyo.ac.jp 1. ナノワイヤトランジスタの位置付け 2. ナノワイヤ FET の移動度測定 3. ナノワイヤ nfet と pfet の移動度 4. まとめ 本研究の一部は,NEDO のプロジェクト ナノエレクトロニクス半導体材利用 新構造なの電子デバイス技術開発

More information

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055

2). 3) 4) 1.2 NICTNICT DCRA Dihedral Corner Reflector micro-arraysdcra DCRA DCRA DCRA 3D DCRA PC USB PC PC ON / OFF Velleman K8055 K8055 K8055 1 1 1 2 DCRA 1. 1.1 1) 1 Tactile Interface with Air Jets for Floating Images Aya Higuchi, 1 Nomin, 1 Sandor Markon 1 and Satoshi Maekawa 2 The new optical device DCRA can display floating images in free

More information

(43) Vol.33, No.6(1977) T-239 MUTUAL DIFFUSION AND CHANGE OF THE FINE STRUCTURE OF WET SPUN ANTI-PILLING ACRYLIC FIBER DURING COAGULATION, DRAWING AND

(43) Vol.33, No.6(1977) T-239 MUTUAL DIFFUSION AND CHANGE OF THE FINE STRUCTURE OF WET SPUN ANTI-PILLING ACRYLIC FIBER DURING COAGULATION, DRAWING AND (43) Vol.33, No.6(1977) T-239 MUTUAL DIFFUSION AND CHANGE OF THE FINE STRUCTURE OF WET SPUN ANTI-PILLING ACRYLIC FIBER DURING COAGULATION, DRAWING AND DRYING PROCESSES* By Hiroshi Aotani, Katsumi Yamazaki

More information

,,,,., C Java,,.,,.,., ,,.,, i

,,,,., C Java,,.,,.,., ,,.,, i 24 Development of the programming s learning tool for children be derived from maze 1130353 2013 3 1 ,,,,., C Java,,.,,.,., 1 6 1 2.,,.,, i Abstract Development of the programming s learning tool for children

More information

燃焼圧センサ

燃焼圧センサ 49 Combustion Pressure Sensor Kouji Tsukada, Masaharu Takeuchi, Sanae Tokumitsu, Yoshiteru Ohmura, Kazuyoshi Kawaguchi π 1000N 150 225N 1 F.S Abstract A new combustion pressure sensor capable of measuring

More information

Hohenegger & Schär, a cm b Kitoh et. al., Gigerenzer et. al. Susan et. al.

Hohenegger & Schär, a cm b Kitoh et. al., Gigerenzer et. al. Susan et. al. Study on characteristics of inhabitants acceptance of weather information with a probability Motohiro HONMA *, Kyoko ARAI **, Kento MATSUMOTO *** and Yasushi SUZUKI *** Abstract In this study, we performed

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE {s-kasihr, wakamiya,

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE {s-kasihr, wakamiya, THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 565-0871 1 5 E-mail: {s-kasihr, wakamiya, murata}@ist.osaka-u.ac.jp PC 70% Design, implementation, and evaluation

More information

T05_Nd-Fe-B磁石.indd

T05_Nd-Fe-B磁石.indd Influence of Intergranular Grain Boundary Phases on Coercivity in Nd-Fe-B-based Magnets Takeshi Nishiuchi Teruo Kohashi Isao Kitagawa Akira Sugawara Hiroyuki Yamamoto To determine how to increase the coercivity

More information