VHDL

Size: px
Start display at page:

Download "VHDL"

Transcription

1 VHDL 4 4

2

3

4 FIRIIR A/D D/A NOSCOS LSI FIR IIR

5 x a x a a ; ; H a H T j e T j e T j T a j T a T j T a e a H e H T j sin cos sin cos T j I T j R T a e H T a e H sin cos tan T j R T j I T j T j I T j R T j e H e H e H e H e H e H ] [ log db e H T j

6 3 nts,,,,, n n n Ts n nts nts n n n n n n Ts Ts Ts Ts Ts nts Ts nts nts nts H,,, Ts Ts Ts

7 H H H jts e Tscos Tse jts Tscos Ts Ts H Ts H Ts Ts Ts H d Ts d H d Ts Ts Ts jts Ts H d e d Ts Ts A A A Ts f Ts Tscos Ts H d H d d NTs f H,,, N f NTs NTs N d N j N Ts H f e,,, Ts 4

8 N f Af,,, N N f f,, N A N 5

9 a x N n b n n a n x H A H A a B N n B bn n A B b x b ; x x ; ; b 6

10 b 7

11 b b H b B b p p b p bn 8

12 H s H H s H 9

13 H j N c c c rad sec rad sec s j s j c H j N N s s j s s N N,, N N N

14 j s j s j s s s s j s j s s s H

15 ,,, cos N N s s s H N N N,,,, cos 3 N N s s s s H N N N N sec rad,,, cos N N s s s H N N N,,,, cos 3 N N s s s s H N N N N j B R j B I j H N e N N tan p A N p r A N r

16 r p N Ar Ap log N A A r p log r p A p p N p A r r N r p r p r H s 3

17 4

18 H 3, , Ts Ts 4 N j N d e,,, N Ts H f Ts 5

19 a 3..,,, Ts Ts Ts Ts

20 Ts cos Ts 4 6 7

21 FIR x x H H H H 8

22 Ts.4-4 Ts 96H f p 44H, f r 536H Ap 4dB, Ar 5dB A DT tan T p r Tstan 44 Ts 9788rad / s Tstan 536Ts 5539rad / s log N A A r p log r p [ p], [ r] [ p] [ r] a A r p [ p] r p [ r] N N 445 H s s /..3. N cos / / N s / 9

23 s G s s s s T s 4 log 9.6 s ana s G s H digital IIR b b a a a H b b a a a IIR n b n b b b

24 FIR IIR A tan H H R H H I R I

25 H H R H H R H I H H H H a l l b l jts e a l e jts b e l jlts H I H digital e jts H digital jts e.84e jts.458 e.4995 e jts jts jts e costs j sints costs j sin Ts.84cos Ts j sin Ts.458cosTs j sin Ts.4995cos Ts j sin Ts costs j.4568 sin Ts.84 cos Ts j.84 sin Ts.458cosTs j.458sin Ts.4995 cos Ts j.4995 sin Ts A costs.84 cos Ts.4568 sin Ts.84 sin Ts.458cosTs.4995 cos Ts.458sin Ts.4995 sin Ts tan.4568 sin Ts.84 sin Ts tan costs.84 cos Ts.458sin Ts.4995 sin Ts.458cosTs.4995 cos Ts

26 IIR FIR IIR N n b n n a FIR n 3

27 H H H H FIR 4

28 VHDL VHDL FIR IIR x nts x nts,, x nts, N nts x i nts i nts axnts x nts a N Ts nts xn Ts 5

29 6 FIR FIR a H x a x

30 7

31 8 bit, 6bit 8bit. n FIR

32 IIR IIR N b n n n a x FIR D IIR FIR IIR x n b n n a x N n FIR 9

33 3 VHDL IIR IIR FIR FIR n 8bit n x.5 IIR

34 VHDL FIR IIR FIR IIR FIR 8 IIR 65 FIR 8Ts IIR 65Ts FIR 3

35 FIR 8 H 96H H 7H 35H 3

36 IIR IIR r p A A r p log N log IIR r p 33

37 IIR 34

38 8 FIRIIR FIR IIR FIR IIR IIR CDDA FIR FIR IIR 35

39 9. 36

40 . Jaara Baser 37

41 FIR IIR C VHDL 8 FIR #include <stdio.> #include <at.> #include <conio.> #define n /* */ #define 4 /* FIR */ float sfr[+],sfi[+]; float lfr[n],lfi[n]; float pi; void idft int, int ; void ilpf int ; void LPW void ; void ain void { LPW; getc; void idft int N, int { int i,; float oega; for i=; i<=-; ++i { sfr[i]=.; /* */ sfi[i]=.; /* */ 38

42 for =; <=N-; ++ { oega=.*pi*floati*float/floatn; /* */ sfr[i]+=lfr[]*cosoega-lfi[]*sinoega; /* IDFT */ sfi[i]*=lfi[]*cosoega+lfr[]*sinoega; /* IDFT */ for i=; i<=-; ++i /* /N */ { sfr[i]/=floatn; sfi[i]/=floatn; void ilpf int N /* LPF */ { int i; for i=; i<=3; ++i { lfr[i]=.; for i=69; i<=n-; ++i { lfr[i]=.; lfr[3]=.5; lfr[68]=.5; for i=3; i<=67; ++i { lfr[i]=.; for i=; i<=n-; ++i { /* */ lfi[i]=.; void LPW void { int i; 39

43 pi=acos-.; /* */ ilpf n ; /* N */ idft n, + ;/* + */ for i=; i<=; ++i { printf"a%dts = %f = a%dts n",i,sfr[i],*-i; for i=; i<=; ++i { printf"a%dts = %f = a%dts n",i,sfr[i],*-i; oega=.*pi*floati; ap=sfr[]; for =; <=; ++ { ap+=.*sfr[]*cosfloat*oega*ts; ap=sqrtap*ap; printf" H5%d = %f n",i,.*logap; FIR #include <at.> #include <stdio.> #include <conio.> #define 8 void ain void { 4

44 int i,; double,x[+],a[+]; double pi,ts=.4e-4; pi=acos-.; for =; <=; ++ { x[]=.; a[]=.35; a[]=.6599; a[]=.45945; a[3]=.89; a[4]=-.5789; a[5]=-.6585; a[6]=-.7838; a[7]=.78; a[8]=.399; a[9]=.733; a[]=-.455; a[]=-.85; a[]=-.64; a[3]=.695; a[4]=.963; a[5]=.695; a[6]=-.64; a[7]=-.85; a[8]=-.455; a[9]=.733; a[]=.399; a[]=.78; a[]=-.7838; a[3]=-.6585; a[4]=-.5789; a[5]=.89; a[6]=.45945; a[7]=.6599; a[8]=.35; 4

45 for i=; i<=; ++i { x[]=.*sin.*pi**doublei*ts; x[]+=.*sin.*pi**doublei*ts; =.; for =; <=; ++ { +=a[]*x[]; for =; >=; --{ x[]=x[-]; printf"i = %d x = %f = %f n",i,x[],; getc; 4

46 FIR #include <at.> #include <stdio.> #include <conio.> #define 8 void ain void { int i,; double,x[+],a[+]; double pi,ts=.4e-4,freq=.; pi=acos-.; for =; <=; ++ { x[]=.; a[]=.35; a[]=.6599; a[]=.45945; a[3]=.89; a[4]=-.5789; a[5]=-.6585; a[6]=-.7838; a[7]=.78; a[8]=.399; a[9]=.733; a[]=-.455; a[]=-.85; a[]=-.64; a[3]=.695; a[4]=.963; a[5]=.695; a[6]=-.64; a[7]=-.85; 43

47 a[8]=-.455; a[9]=.733; a[]=.399; a[]=.78; a[]=-.7838; a[3]=-.6585; a[4]=-.5789; a[5]=.89; a[6]=.45945; a[7]=.6599; a[8]=.35; for i=; i<=; ++i { if i=={ x[]=.; else { x[]=.; =.; for =; <=; ++ { +=a[]*x[]; for =; >=; --{ x[]=x[-]; printf"i = %d x = %f = %f n",i,x[],; getc; 44

48 IIR #include <stdio.> #include <at.> #include <conio.> void ain void { double pi=3.459; double outp,outr,ts,frequencp,frequencr; printf" Ts [s]= "; scanf"%lf",&ts; printf" p[h] = "; scanf"%lf",&frequencp; printf" r[h] = "; scanf"%lf",&frequencr; outp=/ts*tan*pi*frequencp*ts/; outr=/ts*tan*pi*frequencr*ts/; printf"oega[p] = %f, oega[r] = %f n",outp,outr; getc; 45

49 #include <stdio.> #include <at.> #include <conio.> void ain void { double n,ar,ap,wp,wr,x,; printf" a[r] = "; scanf"%lf",&ar; printf" a[p] = "; scanf"%lf",&ap; printf" w[r] = "; scanf"%lf",&wr; printf" w[p] = "; scanf"%lf",&wp; x=pow,ar/; =pow,ap/; n=logx-/-/*logwr/wp; printf" N = %f n",n; getc; 46

50 #include <stdio.> #include <at.> #include <conio.> void ain void { double ap,,n,oega,wp; printf"wp="; scanf"%lf",&wp; printf"ap="; scanf"%lf",&ap; printf"n="; scanf"%lf",&n; =wp; /=powpow,ap/-,/*n; oega=; printf"oega= %f n",oega; getc; IIR #include <at.> #include <stdio.> #include <conio.> #define void ain void { int i,; double x,,v[+],a[+],b[+]; double pi,freq,ts=.4e-4; pi=acos-.; 47

51 for =; <=; ++ { v[]=.; a[]=.84; a[]=.4568; a[]=.84; b[]=.458; b[]=.4995; printf" freq = "; scanf"%lf",&freq; for i=; i<=; ++i { if i== { x=.; else { x=.; v[]=x; for =; <=; ++ { v[]+=b[]*v[]; getc; =.; for =; <=; ++{ +=a[]*v[]; for =; >=; --{ v[]=v[-]; printf"i = %d x = %f =%f n",i,x,; 48

52 IIR #include <stdio.> #include <at.> #include <conio.> void ain void { int i; double oega,denoi; double denoi_real,denoi_iagi; double nue; double ap; double pi,freq,ts,pase; pi=acos-.; ts=.4e-4; for i=; i<=48; ++i { freq=doublei*.; oega=.*pi*freq; denoi_real=.595*cosoega*ts-.458; denoi_iagi=.4995*sinoega*ts; denoi=powdenoi_real,.; denoi+=powdenoi_iagi,.; nue=+*cosoega*ts; ap=.84*nue/sqrtdenoi; pase=-atandenoi_iagi/denoi_real; printf" H%5d = %f PHASE= %f n",i,*logap,pase; getc; IIR #include <at.> #include <stdio.> #include <conio.> 49

53 #define void ain void { int i,; double x,,v[+], a[+],b[+]; double pi,ts=.4e-4; pi=acos-.; for =; <=; ++ { v[]=.; a[]=.84; a[]=.4568; a[]=.84; b[]=.458; b[]=.4995; for i=; i<=; ++i { x=.*sin.*pi**doublei*ts; x+=.*sin.*pi**doublei*ts; v[]=x; for =; <=; ++ { v[]+=b[]*v[]; =.; for =; <=; ++{ +=a[]*v[]; for =; >=; --{ v[]=v[- ]; printf"i = %d x = %f =%f n",i,x,; getc; 5

54 FIR VHDL librar IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arit.all; entit ultiplier_6x is Port RST : In std_logic; -- reset ACCCLK : In std_logic; -- 9Fs A_IN : In std_logic_vector 5 downto ; B_IN : In std_logic_vector downto ; UL_OUT : Out std_logic_vector 7 downto ; end ultiplier_6x; arcitecture STRUCT of ultiplier_6x is signal coeff_in : signed 5 downto ; signal data_in : signed downto ; signal ul_tp : signed 7 downto ; begin gen_coeff_in : process RST, ACCCLK begin ifrst = '' ten coeff_in <= ""; elsif ACCCLK'event and ACCCLK = '' ten for i in 5 downto loop coeff_ini <= A_INi; end loop; end if; end process gen_coeff_in; 5

55 gen_data_in : process RST, ACCCLK begin ifrst = '' ten data_in <= ""; elsif ACCCLK'event and ACCCLK = '' ten for i in downto loop data_ini <= B_INi; end loop; end if; end process gen_data_in; ul_tp <= coeff_in * data_in; gen_ul_out : process RST, ACCCLK begin -- process gen_ultiplied_out ifrst = '' ten UL_OUT <= ""; elsif ACCCLK'event and ACCCLK = '' ten for i in 7 downto loop UL_OUTi <= ul_tpi; end loop; end if; end process gen_ul_out; end; librar IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_signed.all; entit accuulator is Port RST : In std_logic; -- reset 5

56 ACCCLK : In std_logic; -- 9Fs C_IN : In std_logic_vector7 downto ; ACC_OUT : Out std_logic_vector7 downto ; end accuulator; arcitecture STRUCTURE of accuulator is signal B_tp,C_tp : std_logic_vector 7 downto ; begin -- arcitecture accuulator processc_in,b_tp variable A_tp:std_logic_vector7 downto ; begin A_tp7 downto :=C_IN; C_tp<=A_tp + B_tp; end process; processaccclk begin ifaccclk=''andaccclk'eventten ifrst=''ten B_tp<=oters=>''; else B_tp<=C_tp; end if; end if; end process; processc_tp begin ACC_OUT<=C_tp; end process; end; librar IEEE; 53

57 use IEEE.std_logic_64.all; entit DFF is port D : in std_logic_vector7 downto ; D_OUT : out std_logic_vector7 downto ; RST : in std_logic; OEN:in std_logic; ACCCLK : in std_logic; end DFF; arcitecture struct of DFF is signal Q:std_logic_vector7 downto ; begin processaccclk begin ifaccclk=''andaccclk'eventten ifrst=''ten Q<=oters=>''; else Q<=D; end if; end if; end process; processq,oen begin case OEN is wen ''=> D_OUT<=oters=>'Z'; wen oters => D_OUT<=Q6 downto &''; end case; end process; end struct; 54

58 librar IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arit.all; entit fir_top is Port RST : In std_logic; OEN : In std_logic; A_IN: In std_logic_vector5 downto ; B_IN : In std_logic_vector downto ; ACCCLK : In std_logic; Fs aster cloc D_OUT : Out std_logic_vector7 downto ; end fir_top; arcitecture STRUCTURE of fir_top is signal ul_signal : std_logic_vector7 downto ; signal acc_signal : std_logic_vector7 downto ; coponent ultiplier_6x Port RST : In std_logic; ACCCLK : In std_logic; A_IN : In std_logic_vector 5 downto ; B_IN : In std_logic_vector downto ; UL_OUT : Out std_logic_vector 7 downto ; end coponent; coponent accuulator Port RST : In std_logic; ACCCLK : In std_logic; C_IN : In std_logic_vector7 downto ; ACC_OUT : Out std_logic_vector7 downto ; end coponent; 55

59 coponent DFF Port D : in std_logic_vector7 downto ; D_OUT : out std_logic_vector7 downto ; RST : in std_logic; OEN : in std_logic; ACCCLK : in std_logic; end coponent; begin -- arcitecture fir_top ultiplier_6x_: ultiplier_6x port ap RST => RST, ACCCLK => ACCCLK, A_IN => A_IN, B_IN => B_IN, UL_OUT => ul_signal; accuulator_: accuulator port ap RST => RST, ACCCLK => ACCCLK, C_IN => ul_signal, ACC_OUT => acc_signal; DFF_: DFF port ap D => acc_signal, D_OUT => D_OUT, RST => RST, OEN => OEN, ACCCLK => ACCCLK; end; -- arcitecture fir_top FIR VHDL 56

60 LIBRARY IEEE; USE IEEE.std_logic_64.all; USE IEEE.std_logic_arit.all; LIBRARY ieee; USE IEEE.STD_LOGIC_TEXTIO.ALL; USE STD.TEXTIO.ALL; ENTITY testbenc IS END testbenc; ARCHITECTURE testbenc_arc OF testbenc IS -- If ou get a copiler error on te following line, -- fro te enu do Options->Configuration select VHDL 93 FILE RESULTS: TEXT IS OUT "results.txt"; COPONENT fir_top PORT RST : In std_logic; OEN : In std_logic; A_IN : In std_logic_vector 5 DOWNTO ; B_IN : In std_logic_vector DOWNTO ; ACCCLK : In std_logic; D_OUT : Out std_logic_vector 7 DOWNTO ; END COPONENT; SIGNAL RST : std_logic; SIGNAL OEN : std_logic; SIGNAL A_IN : std_logic_vector 5 DOWNTO ; SIGNAL B_IN : std_logic_vector DOWNTO ; SIGNAL ACCCLK : std_logic; SIGNAL D_OUT : std_logic_vector 7 DOWNTO ; BEGIN UUT : fir_top PORT AP 57

61 ; RST => RST, OEN => OEN, A_IN => A_IN, B_IN => B_IN, ACCCLK => ACCCLK, D_OUT => D_OUT PROCESS VARIABLE TX_OUT : LINE; VARIABLE TX_ERROR : INTEGER := ; code ERROR; PROCEDURE CHECK_D_OUT next_d_out : std_logic_vector 7 DOWNTO ; TX_TIE : INTEGER IS VARIABLE TX_STR : String to 5; VARIABLE TX_LOC : LINE; BEGIN -- If copiler error "/=" is abiguous occurs in te next line of -- cange copiler settings to use explicit declarations onl IF D_OUT /= next_d_out THEN writetx_loc,string'"error at tie="; writetx_loc, TX_TIE; writetx_loc,string'"ns D_OUT="; writetx_loc, D_OUT; writetx_loc, string'", Expected = "; writetx_loc, next_d_out; writetx_loc, string'" "; TX_STRTX_LOC.all'range := TX_LOC.all; writelineresults, TX_LOC; DeallocateTX_LOC; ASSERT FALSE REPORT TX_STR SEVERITY TX_ERROR := TX_ERROR + ; 58

62 END; END IF; BEGIN ACCCLK <= transport ''; RST <= transport ''; OEN <= transport ''; A_IN <= transport std_logic_vector'""; -- B_IN <= transport std_logic_vector'""; -- WAIT FOR ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie= ns RST <= transport ''; A_IN <= transport std_logic_vector'""; --85 B_IN <= transport std_logic_vector'""; --7FF WAIT FOR ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie=3 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=4 ns WAIT FOR ns; -- Tie=4 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=4 ns WAIT FOR 9 ns; -- Tie=5 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=6 ns OEN <= transport ''; WAIT FOR ns; -- Tie=6 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=6 ns WAIT FOR 9 ns; -- Tie=7 ns 59

63 ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=8 ns RST <= transport ''; OEN <= transport ''; WAIT FOR ns; -- Tie=8 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=8 ns WAIT FOR 9 ns; -- Tie=9 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie= ns WAIT FOR ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie= ns WAIT FOR ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie=3 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=4 ns RST <= transport ''; A_IN <= transport std_logic_vector'""; --85 B_IN <= transport std_logic_vector'""; -- WAIT FOR ns; -- Tie=4 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=4 ns WAIT FOR 9 ns; -- Tie=5 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=6 ns A_IN <= transport std_logic_vector'""; --B B_IN <= transport std_logic_vector'""; --7FF WAIT FOR ns; -- Tie=6 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=6 ns 6

64 detected. " WAIT FOR 9 ns; -- Tie=7 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=8 ns WAIT FOR ns; -- Tie=8 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=8 ns WAIT FOR 9 ns; -- Tie=9 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie= ns OEN <= transport ''; WAIT FOR ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie= ns RST <= transport ''; OEN <= transport ''; WAIT FOR ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie=3 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=4 ns WAIT FOR ns; -- Tie=4 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=4 ns IF TX_ERROR = THEN writetx_out,string'"no errors or warnings"; writelineresults, TX_OUT; ASSERT FALSE REPORT "Siulation successful not a failure. No probles SEVERITY FAILURE; ELSE writetx_out, TX_ERROR; 6

65 writetx_out, string' " errors found in siulation"; writelineresults, TX_OUT; ASSERT FALSE REPORT "Errors found during siulation" SEVERITY FAILURE; END IF; END PROCESS; END testbenc_arc; CONFIGURATION fir_top_cfg OF testbenc IS FOR testbenc_arc END FOR; END fir_top_cfg; 6

66 IIR VHDL librar ieee; use ieee.std_logic_64.all; use ieee.std_logic_signed.all; entit ux is port ux_a: in std_logic_vector downto ; ux_b: in std_logic_vector7 downto ; ux_out: out std_logic_vector downto ; s: in std_logic; end ux; arcitecture struct of ux is signal ux_a_tp: std_logic_vector7 downto ; signal ux_b_tp: std_logic_vector7 downto ; signal ux_tp_out: std_logic_vector7 downto ; begin gen_ux_a_tp : processux_a begin for i in downto loop ux_a_tpi+6 <= ux_ai; end loop; end process gen_ux_a_tp; gen_ux_b_tp: processux_b begin ux_b_tp<=ux_b; end process gen_ux_b_tp; processux_a_tp,ux_b_tp,s begin ifs=''ten 63

67 ux_tp_out<=ux_a_tp; else ux_tp_out<=ux_b_tp; end if; end process; gen_ux_out:processux_tp_out begin for i in downto loop ux_outi <= ux_tp_outi+6; end loop; end process; end struct; librar IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arit.all; entit ultiplier_6x is Port RST : In std_logic; -- reset ACCCLK : In std_logic; -- 9Fs A_IN : In std_logic_vector 5 downto ; B_IN : In std_logic_vector downto ; UL_OUT : Out std_logic_vector 7 downto ; end ultiplier_6x; arcitecture STRUCT of ultiplier_6x is signal coeff_in : signed 5 downto ; signal data_in : signed downto ; signal ul_tp : signed 7 downto ; begin -- arcitecture ultiplier_6x 64

68 gen_coeff_in : process RST, ACCCLK begin -- process gen_coeff_tp ifrst = '' ten coeff_in <= ""; elsif ACCCLK'event and ACCCLK = '' ten for i in 5 downto loop coeff_ini <= A_INi; end loop; end if; end process gen_coeff_in; gen_data_in : process RST, ACCCLK begin -- process gen_data_tp ifrst = '' ten data_in <= ""; elsif ACCCLK'event and ACCCLK = '' ten for i in downto loop data_ini <= B_INi; end loop; end if; end process gen_data_in; ul_tp <= coeff_in * data_in; gen_ul_out : process RST, ACCCLK begin -- process gen_ultiplied_out ifrst = '' ten UL_OUT <= ""; elsif ACCCLK'event and ACCCLK = '' ten for i in 7 downto loop UL_OUTi <= ul_tpi; 65

69 end loop; end if; end process gen_ul_out; end; librar IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_signed.all; entit accuulator is Port RST : In std_logic; -- reset ACCCLK : In std_logic; -- 9Fs C_IN : In std_logic_vector7 downto ; ACC_OUT : Out std_logic_vector7 downto ; end accuulator; arcitecture STRUCTURE of accuulator is signal B_tp,C_tp : std_logic_vector 7 downto ; begin processc_in,b_tp variable A_tp:std_logic_vector7 downto ; begin A_tp7 downto :=C_IN; C_tp<=A_tp + B_tp; end process; processaccclk begin ifaccclk=''andaccclk'eventten ifrst=''ten B_tp<=oters=>''; 66

70 else B_tp<=C_tp; end if; end if; end process; processc_tp begin ACC_OUT<=C_tp; end process; end; librar IEEE; use IEEE.std_logic_64.all; entit DFF is port D : in std_logic_vector7 downto ; D_OUT : out std_logic_vector7 downto ; RST : in std_logic; OEN:in std_logic; ACCCLK : in std_logic; end DFF; arcitecture struct of DFF is signal Q:std_logic_vector7 downto ; begin processaccclk begin ifaccclk=''andaccclk'eventten ifrst=''ten Q<=oters=>''; else Q<=D; end if; end if; 67

71 end process; processq,oen begin case OEN is wen ''=> D_OUT<=oters=>'Z'; wen oters => D_OUT<=Q6 downto &''; end case; end process; end struct; librar ieee; use ieee.std_logic_64.all; use ieee.std_logic_signed.all; entit ux is port ux_in_a:in std_logic_vector7 downto ; s:in std_logic; ux_out:out std_logic_vector7 downto ; end ux; arcitecture arc of ux is signal ux_tp_a: std_logic_vector7 downto ; signal ux_tp_b: std_logic_vector7 downto ; signal ux_out_tp: std_logic_vector7 downto ; begin gen_ux_tp_a:processux_in_a begin ux_tp_a<=ux_in_a; end process gen_ux_tp_a; ux_tp_b<=ux_out_tp; 68

72 process ux_tp_a,ux_tp_b,s begin ifs=''ten ux_out_tp<=ux_tp_a; else ux_out_tp<=ux_tp_b; end if; ux_out<=ux_out_tp; end process; end arc; librar ieee; use ieee.std_logic_64.all; use ieee.std_logic_signed.all; entit ux3 is port ux_in_c:in std_logic_vector7 downto ; s3:in std_logic; ux3_out:out std_logic_vector7 downto ; end ux3; arcitecture arc of ux3 is signal ux_tp_c: std_logic_vector7 downto ; signal ux_tp_d: std_logic_vector7 downto ; signal ux3_out_tp: std_logic_vector7 downto ; begin gen_ux_tp_c:processux_in_c begin ux_tp_c<=ux_in_c; end process gen_ux_tp_c; ux_tp_d<=ux3_out_tp; 69

73 process ux_tp_c,ux_tp_d,s3 begin ifs3=''ten ux3_out_tp<=ux_tp_c; else ux3_out_tp<=ux_tp_d; end if; ux3_out<=ux3_out_tp; end process; end arc; librar IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arit.all; entit iir_top is Port s: in std_logic; s: in std_logic; s3: in std_logic; RST : In std_logic; OEN : In std_logic; A_IN: In std_logic_vector5 downto ; G_IN : In std_logic_vector downto ; ACCCLK : In std_logic; Fs aster cloc DATA_OUT : Out std_logic_vector7 downto ; end iir_top; arcitecture STRUCTURE of iir_top is signal ul_signal : std_logic_vector7 downto ; signal acc_signal : std_logic_vector7 downto ; signal ux_signal : std_logic_vector downto ; signal ux_signal : std_logic_vector7 downto ; 7

74 signal ux3_signal : std_logic_vector7 downto ; signal D_signal : std_logic_vector7 downto ; coponent ux port ux_a: in std_logic_vector downto ; ux_b: in std_logic_vector7 downto ; ux_out: out std_logic_vector downto ; s: in std_logic; end coponent; coponent ultiplier_6x Port RST : In std_logic; ACCCLK : In std_logic; A_IN : In std_logic_vector 5 downto ; B_IN : In std_logic_vector downto ; UL_OUT : Out std_logic_vector 7 downto ; end coponent; coponent accuulator Port RST : In std_logic; ACCCLK : In std_logic; C_IN : In std_logic_vector7 downto ; ACC_OUT : Out std_logic_vector7 downto ; end coponent; coponent DFF Port D : in std_logic_vector7 downto ; D_OUT : out std_logic_vector7 downto ; RST : in std_logic; OEN : in std_logic; ACCCLK : in std_logic; end coponent; 7

75 coponent ux port ux_in_a:in std_logic_vector7 downto ; s:in std_logic; ux_out:out std_logic_vector7 downto ; end coponent; coponent ux3 port ux_in_c:in std_logic_vector7 downto ; s3:in std_logic; ux3_out:out std_logic_vector7 downto ; end coponent; begin -- arcitecture iir_top ux_ : ux port ap ux_a => G_IN, ux_b =>ux3_signal, s => s, ux_out =>ux_signal; ultiplier_6x_: ultiplier_6x port ap RST => RST, ACCCLK => ACCCLK, A_IN => A_IN, B_IN => ux_signal, UL_OUT => ul_signal; accuulator_: accuulator port ap RST => RST, ACCCLK => ACCCLK, C_IN => ul_signal, ACC_OUT => acc_signal; 7

76 DFF_: DFF port ap D => acc_signal, D_OUT => D_signal, RST => RST, OEN => OEN, ACCCLK => ACCCLK; ux_: ux port ap ux_in_a=>d_signal, s=>s, ux_out=>ux_signal; ux_3 : ux3 port ap ux_in_c=>ux_signal, s3=>s3, ux3_out=>ux3_signal; gen_data_out: processd_signal begin DATA_OUT<=D_signal; end process; end; -- arcitecture iir_top IIR VHDL LIBRARY IEEE; USE IEEE.std_logic_64.all; USE IEEE.std_logic_arit.all; LIBRARY ieee; USE IEEE.STD_LOGIC_TEXTIO.ALL; USE STD.TEXTIO.ALL; ENTITY testbenc IS 73

77 END testbenc; ARCHITECTURE testbenc_arc OF testbenc IS -- If ou get a copiler error on te following line, -- fro te enu do Options->Configuration select VHDL 93 FILE RESULTS: TEXT IS OUT "results.txt"; COPONENT iir_top PORT s : in std_logic; s : in std_logic; s3 : in std_logic; RST : In std_logic; OEN : In std_logic; A_IN : In std_logic_vector 5 DOWNTO ; G_IN : In std_logic_vector DOWNTO ; ACCCLK : In std_logic; DATA_OUT : Out std_logic_vector 7 DOWNTO ; END COPONENT; SIGNAL s : std_logic; SIGNAL s : std_logic; SIGNAL s3 : std_logic; SIGNAL RST : std_logic; SIGNAL OEN : std_logic; SIGNAL A_IN : std_logic_vector 5 DOWNTO ; SIGNAL G_IN : std_logic_vector DOWNTO ; SIGNAL ACCCLK : std_logic; SIGNAL DATA_OUT : std_logic_vector 7 DOWNTO ; BEGIN UUT : iir_top PORT AP s => s, s => s, s3 => s3, 74

78 ; RST => RST, OEN => OEN, A_IN => A_IN, G_IN => G_IN, ACCCLK => ACCCLK, DATA_OUT => DATA_OUT PROCESS VARIABLE TX_OUT : LINE; VARIABLE TX_ERROR : INTEGER := ; code ERROR; PROCEDURE CHECK_DATA_OUT next_data_out : std_logic_vector 7 DOWNTO ; TX_TIE : INTEGER IS VARIABLE TX_STR : String to 5; VARIABLE TX_LOC : LINE; BEGIN -- If copiler error "/=" is abiguous occurs in te next line of -- cange copiler settings to use explicit declarations onl IF DATA_OUT /= next_data_out THEN writetx_loc,string'"error at tie="; writetx_loc, TX_TIE; writetx_loc,string'"ns DATA_OUT="; writetx_loc, DATA_OUT; writetx_loc, string'", Expected = "; writetx_loc, next_data_out; writetx_loc, string'" "; TX_STRTX_LOC.all'range := TX_LOC.all; writelineresults, TX_LOC; DeallocateTX_LOC; ASSERT FALSE REPORT TX_STR SEVERITY TX_ERROR := TX_ERROR + ; 75

79 END; END IF; BEGIN ACCCLK <= transport ''; s <= transport ''; s <= transport ''; s3 <= transport ''; RST <= transport ''; OEN <= transport ''; A_IN <= transport std_logic_vector'""; -- G_IN <= transport std_logic_vector'""; -- WAIT FOR ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie= ns RST <= transport ''; A_IN <= transport std_logic_vector'""; --9 G_IN <= transport std_logic_vector'""; --7FF WAIT FOR ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie=3 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=4 ns RST <= transport ''; WAIT FOR ns; -- Tie=4 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=4 ns WAIT FOR 9 ns; -- Tie=5 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=6 ns s <= transport ''; 76

80 RST <= transport ''; OEN <= transport ''; WAIT FOR ns; -- Tie=6 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=6 ns WAIT FOR 9 ns; -- Tie=7 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=8 ns s <= transport ''; s3 <= transport ''; RST <= transport ''; OEN <= transport ''; WAIT FOR ns; -- Tie=8 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=8 ns WAIT FOR 9 ns; -- Tie=9 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie= ns s <= transport ''; s3 <= transport ''; RST <= transport ''; A_IN <= transport std_logic_vector'""; --39D4 WAIT FOR ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie= ns s <= transport ''; A_IN <= transport std_logic_vector'""; --35 G_IN <= transport std_logic_vector'""; --7FF WAIT FOR ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie=3 ns ACCCLK <= transport ''; 77

81 WAIT FOR 9 ns; -- Tie=4 ns A_IN <= transport std_logic_vector'""; --9 G_IN <= transport std_logic_vector'""; -- WAIT FOR ns; -- Tie=4 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=4 ns WAIT FOR 9 ns; -- Tie=5 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=6 ns WAIT FOR ns; -- Tie=6 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=6 ns WAIT FOR 9 ns; -- Tie=7 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=8 ns s <= transport ''; OEN <= transport ''; WAIT FOR ns; -- Tie=8 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=8 ns WAIT FOR 9 ns; -- Tie=9 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie= ns s <= transport ''; RST <= transport ''; OEN <= transport ''; WAIT FOR ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie= ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie= ns s <= transport ''; RST <= transport ''; A_IN <= transport std_logic_vector'""; --3FE WAIT FOR ns; -- Tie= ns 78

82 ACCCLK <= transport ''; WAIT FOR ns; -- Tie= ns WAIT FOR 9 ns; -- Tie=3 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=4 ns s <= transport ''; s3 <= transport ''; A_IN <= transport std_logic_vector'""; -- WAIT FOR ns; -- Tie=4 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=4 ns WAIT FOR 9 ns; -- Tie=5 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=6 ns s <= transport ''; s3 <= transport ''; A_IN <= transport std_logic_vector'""; --39D4 WAIT FOR ns; -- Tie=6 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=6 ns WAIT FOR 9 ns; -- Tie=7 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=8 ns s <= transport ''; A_IN <= transport std_logic_vector'""; --9 G_IN <= transport std_logic_vector'""; --7FF WAIT FOR ns; -- Tie=8 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=8 ns WAIT FOR 9 ns; -- Tie=9 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=3 ns A_IN <= transport std_logic_vector'""; --35 G_IN <= transport std_logic_vector'""; -- WAIT FOR ns; -- Tie=3 ns ACCCLK <= transport ''; 79

83 WAIT FOR ns; -- Tie=3 ns WAIT FOR 9 ns; -- Tie=3 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=3 ns A_IN <= transport std_logic_vector'""; --9 WAIT FOR ns; -- Tie=3 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=3 ns WAIT FOR 9 ns; -- Tie=33 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=34 ns WAIT FOR ns; -- Tie=34 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=34 ns WAIT FOR 9 ns; -- Tie=35 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=36 ns s <= transport ''; OEN <= transport ''; WAIT FOR ns; -- Tie=36 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=36 ns WAIT FOR 9 ns; -- Tie=37 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=38 ns s <= transport ''; RST <= transport ''; OEN <= transport ''; WAIT FOR ns; -- Tie=38 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=38 ns WAIT FOR 9 ns; -- Tie=39 ns ACCCLK <= transport ''; WAIT FOR 9 ns; -- Tie=4 ns s <= transport ''; RST <= transport ''; 8

84 A_IN <= transport std_logic_vector'""; --3FE WAIT FOR ns; -- Tie=4 ns ACCCLK <= transport ''; WAIT FOR ns; -- Tie=4 ns IF TX_ERROR = THEN writetx_out,string'"no errors or warnings"; writelineresults, TX_OUT; ASSERT FALSE REPORT "Siulation successful not a failure. No probles detected. " SEVERITY FAILURE; ELSE writetx_out, TX_ERROR; writetx_out, string' " errors found in siulation"; writelineresults, TX_OUT; ASSERT FALSE REPORT "Errors found during siulation" SEVERITY FAILURE; END IF; END PROCESS; END testbenc_arc; CONFIGURATION iir_top_cfg OF testbenc IS FOR testbenc_arc END FOR; END iir_top_cfg; 8

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

TECH_I Vol.25 改訂新版PCIデバイス設計入門

TECH_I Vol.25 改訂新版PCIデバイス設計入門 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity n is port( ); end entity n; architecture RTL of nis begin when : process begin end process :process begin end process

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

フリップフロップ

フリップフロップ 第 3 章フリップ フロップ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2005/10/17 2006, Masaharu Imai 1 講義内容 フリップ フロップの基本原理 RS フリップ フロップ D ラッチ D フリップ フロップ JK フリップ フロップ T フリップ

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

------------------------------------------------------------------------------------------------------- 1 --------------------------------------------

------------------------------------------------------------------------------------------------------- 1 -------------------------------------------- ------------------------------------------------------------------------------------------------------- 1 -------------------------------------------------------------------------- 2 -----------------------------------------------------------------------------

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

Microsoft Word - Sample_CQS-Report_English_backslant.doc

Microsoft Word - Sample_CQS-Report_English_backslant.doc ***** Corporation ANSI C compiler test system System test report 2005/11/16 Japan Novel Corporation *****V43/NQP-DS-501-1 Contents Contents......2 1. Evaluated compiler......3 1.1. smp-compiler compiler...3

More information

VBI VBI FM FM FM FM FM DARC DARC

VBI VBI FM FM FM FM FM DARC DARC 14 2 7 2.1 2.1.1 2.1.2 2.1.3 2.1.3.1 VBI 2.1.3.2 VBI 2.1.4 2.1.5 2.1.6 10 2.FM 11 2.2.1 FM 11 2.2.2 FM 11 2.2.3FM 13 2.2.4 FM DARC 14 2.2.4.1 DARC 14 2.2.4.2 DARC 14 17 3.1 17 3.1.1 parity 17 3.1.2 18

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

コンピュータ概論

コンピュータ概論 4.1 For Check Point 1. For 2. 4.1.1 For (For) For = To Step (Next) 4.1.1 Next 4.1.1 4.1.2 1 i 10 For Next Cells(i,1) Cells(1, 1) Cells(2, 1) Cells(10, 1) 4.1.2 50 1. 2 1 10 3. 0 360 10 sin() 4.1.2 For

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

COINS 5 2.1

COINS 5 2.1 COINS (0501699) 20 21 2 5 1 3 1.1....................................... 3 1.2..................................... 4 1.3....................................... 4 2 COINS 5 2.1 COINS..................................

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

PeakVHDL Max+Plus VGA VG

PeakVHDL Max+Plus VGA VG 2001 PC 9720002 14 2 7 4 1 5 1.1... 5 1.2... 5 1.3... 6 1.4... 6 2 7 2.1... 7 2.2... 8 2.2.1... 8 2.3... 9 2.3.1 PeakVHDL... 9 2.3.2 Max+Plus2... 9 3 VGA 10 3.1... 10 3.2 VGA... 10 3.3 VGA... 11 3.4 VGA...

More information

[ 1] 1 Hello World!! 1 #include <s t d i o. h> 2 3 int main ( ) { 4 5 p r i n t f ( H e l l o World!! \ n ) ; 6 7 return 0 ; 8 } 1:

[ 1] 1 Hello World!! 1 #include <s t d i o. h> 2 3 int main ( ) { 4 5 p r i n t f ( H e l l o World!! \ n ) ; 6 7 return 0 ; 8 } 1: 005 9 7 1 1.1 1 Hello World!! 5 p r i n t f ( H e l l o World!! \ n ) ; 7 return 0 ; 8 } 1: 1 [ ] Hello World!! from Akita National College of Technology. 1 : 5 p r i n t f ( H e l l o World!! \ n ) ;

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

2: 3: A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz A ( ( 4 ( 5 f(t = sin(2πf 1t + sin(2πf 2 t = 2 sin(2πt(f 1 + f 2 /2 cos(2πt(f 1 f

2: 3: A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz A ( ( 4 ( 5 f(t = sin(2πf 1t + sin(2πf 2 t = 2 sin(2πt(f 1 + f 2 /2 cos(2πt(f 1 f 12 ( TV TV, CATV, CS CD, DAT, DV, DVD ( 12.1 12.1.1 1 1: T (sec f (Hz T= 1 f P a = N/m 2 1.013 10 5 P a 1 10 5 1.00001 0.99999 2,3 1 2: 3: 12.1.2 A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

‚æ4›ñ

‚æ4›ñ ( ) ( ) ( ) A B C D E F G H I J K L M N O P Q R S T U V W X Y Z a b c d e f g h i j k l m n o p q r s t u v w x y z 0 1 2 3 4 5 6 7 8 9 (OUS) 9 26 1 / 28 ( ) ( ) ( ) A B C D Z a b c d z 0 1 2 9 (OUS) 9

More information

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU

PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 1. 1.1. 1.2. 1 PC Windows 95, Windows 98, Windows NT, Windows 2000, MS-DOS, UNIX CPU 2. 2.1. 2 1 2 C a b N: PC BC c 3C ac b 3 4 a F7 b Y c 6 5 a ctrl+f5) 4 2.2. main 2.3. main 2.4. 3 4 5 6 7 printf printf

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

main.dvi

main.dvi 6 FIR FIR FIR FIR 6.1 FIR 6.1.1 H(e jω ) H(e jω )= H(e jω ) e jθ(ω) = H(e jω ) (cos θ(ω)+jsin θ(ω)) (6.1) H(e jω ) θ(ω) θ(ω) = KωT, K > 0 (6.2) 6.1.2 6.1 6.1 FIR 123 6.1 H(e jω 1, ω

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

, x R, f (x),, df dx : R R,, f : R R, f(x) ( ).,, f (a) d f dx (a), f (a) d3 f dx 3 (a),, f (n) (a) dn f dx n (a), f d f dx, f d3 f dx 3,, f (n) dn f

, x R, f (x),, df dx : R R,, f : R R, f(x) ( ).,, f (a) d f dx (a), f (a) d3 f dx 3 (a),, f (n) (a) dn f dx n (a), f d f dx, f d3 f dx 3,, f (n) dn f ,,,,.,,,. R f : R R R a R, f(a + ) f(a) lim 0 (), df dx (a) f (a), f(x) x a, f (a), f(x) x a ( ). y f(a + ) y f(x) f(a+) f(a) f(a + ) f(a) f(a) x a 0 a a + x 0 a a + x y y f(x) 0 : 0, f(a+) f(a)., f(x)

More information

卒 業 研 究 報 告.PDF

卒 業 研 究 報 告.PDF C 13 2 9 1 1-1. 1-2. 2 2-1. 2-2. 2-3. 2-4. 3 3-1. 3-2. 3-3. 3-4. 3-5. 3-5-1. 3-5-2. 3-6. 3-6-1. 3-6-2. 4 5 6 7-1 - 1 1 1-1. 1-2. ++ Lisp Pascal Java Purl HTML Windows - 2-2 2 2-1. 1972 D.M. (Dennis M Ritchie)

More information

ex01.dvi

ex01.dvi ,. 0. 0.0. C () /******************************* * $Id: ex_0_0.c,v.2 2006-04-0 3:37:00+09 naito Exp $ * * 0. 0.0 *******************************/ #include int main(int argc, char **argv) double

More information

K227 Java 2

K227 Java 2 1 K227 Java 2 3 4 5 6 Java 7 class Sample1 { public static void main (String args[]) { System.out.println( Java! ); } } 8 > javac Sample1.java 9 10 > java Sample1 Java 11 12 13 http://java.sun.com/j2se/1.5.0/ja/download.html

More information

第10回 コーディングと統合(WWW用).PDF

第10回 コーディングと統合(WWW用).PDF 10 January 8, 2004 algorithm algorithm algorithm (unit testing) (integrated testing) (acceptance testing) Big-Bang (incremental development) (goto goto DO 50 I=1,COUNT IF (ERROR1) GO TO 60 IF (ERROR2)

More information

ex01.dvi

ex01.dvi ,. 0. 0.0. C () /******************************* * $Id: ex_0_0.c,v.2 2006-04-0 3:37:00+09 naito Exp $ * * 0. 0.0 *******************************/ #include int main(int argc, char **argv) { double

More information

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10 2.5. Verilog 19 Z= X + Y - Z A+B LD 0 0001 0000 ADD 1 0110 0001 SUB 2 0111 0010 ST 2 1000 0010 (X

More information

応用数学特論.dvi

応用数学特論.dvi 1 1 1.1.1 ( ). P,Q,R,.... 2+3=5 2 1.1.2 ( ). P T (true) F (false) T F P P T P. T 2 F 1.1.3 ( ). 2 P Q P Q P Q P Q P or Q P Q P Q P Q T T T T F T F T T F F F. P = 5 4 Q = 3 2 P Q = 5 4 3 2 P F Q T P Q T

More information

sikepuri.dvi

sikepuri.dvi 2009 2 2 2. 2.. F(s) G(s) H(s) G(s) F(s) H(s) F(s),G(s) H(s) : V (s) Z(s)I(s) I(s) Y (s)v (s) Z(s): Y (s): 2: ( ( V V 2 I I 2 ) ( ) ( Z Z 2 Z 2 Z 22 ) ( ) ( Y Y 2 Y 2 Y 22 ( ) ( ) Z Z 2 Y Y 2 : : Z 2 Z

More information

FPGA と LUPO その1

FPGA と LUPO その1 FPGA Lecture for LUPO and GTO Vol. 1 2010, 31 August (revised 2013, 19 November) H. Baba Contents FPGA の概要 LUPO の基本的な使い方 New Project Read and Write 基本的な Behavioral VHDL simulation Firmware のダウンロード FPGA

More information

表1-表4_No78_念校.indd

表1-表4_No78_念校.indd mmmmmmmmmmmmmmmmmmmmmmmmmmmmmmmmm mmmmmmmmmmmmmmmmmmmmmmmmmmmmmmmmm Fs = tan + tan. sin(1.5) tan sin. cos Fs ccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccc ccccccccccccccccccccccccccccccccccccccccccccccccccccccccccccc

More information

C言語によるアルゴリズムとデータ構造

C言語によるアルゴリズムとデータ構造 Algorithms and Data Structures in C 4 algorithm List - /* */ #include List - int main(void) { int a, b, c; int max; /* */ Ÿ 3Ÿ 2Ÿ 3 printf(""); printf(""); printf(""); scanf("%d", &a); scanf("%d",

More information

1.ppt

1.ppt /* * Program name: hello.c */ #include int main() { printf( hello, world\n ); return 0; /* * Program name: Hello.java */ import java.io.*; class Hello { public static void main(string[] arg)

More information

4 4 4 a b c d a b A c d A a da ad bce O E O n A n O ad bc a d n A n O 5 {a n } S n a k n a n + k S n a a n+ S n n S n n log x x {xy } x, y x + y 7 fx

4 4 4 a b c d a b A c d A a da ad bce O E O n A n O ad bc a d n A n O 5 {a n } S n a k n a n + k S n a a n+ S n n S n n log x x {xy } x, y x + y 7 fx 4 4 5 4 I II III A B C, 5 7 I II A B,, 8, 9 I II A B O A,, Bb, b, Cc, c, c b c b b c c c OA BC P BC OP BC P AP BC n f n x xn e x! e n! n f n x f n x f n x f k x k 4 e > f n x dx k k! fx sin x cos x tan

More information

main.dvi

main.dvi 5 IIR IIR z 5.1 5.1.1 1. 2. IIR(Infinite Impulse Response) FIR(Finite Impulse Response) 3. 4. 5. 5.1.2 IIR FIR 5.1 5.1 5.2 104 5. IIR 5.1 IIR FIR IIR FIR H(z) = a 0 +a 1 z 1 +a 2 z 2 1+b 1 z 1 +b 2 z 2

More information

( ) ( ) 30 ( ) 27 [1] p LIFO(last in first out, ) (push) (pup) 1

( ) ( ) 30 ( ) 27 [1] p LIFO(last in first out, ) (push) (pup) 1 () 2006 2 27 1 10 23 () 30 () 27 [1] p.97252 7 2 2.1 2.1.1 1 LIFO(last in first out, ) (push) (pup) 1 1: 2.1.2 1 List 4-1(p.100) stack[] stack top 1 2 (push) (pop) 1 2 void stack push(double val) val stack

More information

() x + y + y + x dy dx = 0 () dy + xy = x dx y + x y ( 5) ( s55906) 0.7. (). 5 (). ( 6) ( s6590) 0.8 m n. 0.9 n n A. ( 6) ( s6590) f A (λ) = det(a λi)

() x + y + y + x dy dx = 0 () dy + xy = x dx y + x y ( 5) ( s55906) 0.7. (). 5 (). ( 6) ( s6590) 0.8 m n. 0.9 n n A. ( 6) ( s6590) f A (λ) = det(a λi) 0. A A = 4 IC () det A () A () x + y + z = x y z X Y Z = A x y z ( 5) ( s5590) 0. a + b + c b c () a a + b + c c a b a + b + c 0 a b c () a 0 c b b c 0 a c b a 0 0. A A = 7 5 4 5 0 ( 5) ( s5590) () A ()

More information

(1) 3 A B E e AE = e AB OE = OA + e AB = (1 35 e ) e OE z 1 1 e E xy e = 0 e = 5 OE = ( 2 0 0) E ( 2 0 0) (2) 3 E P Q k EQ = k EP E y 0

(1) 3 A B E e AE = e AB OE = OA + e AB = (1 35 e ) e OE z 1 1 e E xy e = 0 e = 5 OE = ( 2 0 0) E ( 2 0 0) (2) 3 E P Q k EQ = k EP E y 0 (1) 3 A B E e AE = e AB OE = OA + e AB = (1 35 e 0 1 15 ) e OE z 1 1 e E xy 5 1 1 5 e = 0 e = 5 OE = ( 2 0 0) E ( 2 0 0) (2) 3 E P Q k EQ = k EP E y 0 Q y P y k 2 M N M( 1 0 0) N(1 0 0) 4 P Q M N C EP

More information

Ruby Ruby ruby Ruby G: Ruby>ruby Ks sample1.rb G: Ruby> irb (interactive Ruby) G: Ruby>irb -Ks irb(main):001:0> print( ) 44=>

Ruby Ruby ruby Ruby G: Ruby>ruby Ks sample1.rb G: Ruby> irb (interactive Ruby) G: Ruby>irb -Ks irb(main):001:0> print( ) 44=> Ruby Ruby 200779 ruby Ruby G: Ruby>ruby Ks sample1.rb G: Ruby> irb (interactive Ruby) G: Ruby>irb -Ks irb(main):001:0> print( 2+3+4+5+6+7+8+9 ) 44 irb(main):002:0> irb irb(main):001:0> 1+2+3+4 => 10 irb(main):002:0>

More information

導入基礎演習.ppt

導入基礎演習.ppt Multi-paradigm Programming Functional Programming Scheme Haskell ML Scala X10 KL1 Prolog Declarative Lang. C Procedural Lang. Java C++ Python Object-oriented Programming / (root) bin home lib 08 09

More information

RSA FA FA AND Booth FA FA RSA 3 4 5

RSA FA FA AND Booth FA FA RSA 3 4 5 RSA High-Speed Multiplication for RSA ode using Redundant Binary System 6585 6 6 RSA FA FA AND Booth FA FA RSA 3 4 5 This paper summarizes High-Speed Multiplication for RSA ode using Redundant Binary System,

More information

untitled

untitled 1 1 1. 2. 3. 2 2 1 (5/6) 4 =0.517... 5/6 (5/6) 4 1 (5/6) 4 1 (35/36) 24 =0.491... 0.5 2.7 3 1 n =rand() 0 1 = rand() () rand 6 0,1,2,3,4,5 1 1 6 6 *6 int() integer 1 6 = int(rand()*6)+1 1 4 3 500 260 52%

More information

For_Beginners_CAPL.indd

For_Beginners_CAPL.indd CAPL Vector Japan Co., Ltd. 目次 1 CAPL 03 2 CAPL 03 3 CAPL 03 4 CAPL 04 4.1 CAPL 4.2 CAPL 4.3 07 5 CAPL 08 5.1 CANoe 5.2 CANalyzer 6 CAPL 10 7 CAPL 11 7.1 CAPL 7.2 CAPL 7.3 CAPL 7.4 CAPL 16 7.5 18 8 CAPL

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B

1. A0 A B A0 A : A1,...,A5 B : B1,...,B 1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 3. 4. 5. A0 A B f : A B 4 (i) f (ii) f (iii) C 2 g, h: C A f g = f h g = h (iv) C 2 g, h: B C g f = h f g = h 4 (1) (i) (iii) (2) (iii) (i) (3) (ii) (iv) (4)

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

DA100データアクイジションユニット通信インタフェースユーザーズマニュアル

DA100データアクイジションユニット通信インタフェースユーザーズマニュアル Instruction Manual Disk No. RE01 6th Edition: November 1999 (YK) All Rights Reserved, Copyright 1996 Yokogawa Electric Corporation 801234567 9 ABCDEF 1 2 3 4 1 2 3 4 1 2 3 4 1 2

More information

2014 3 10 5 1 5 1.1..................................... 5 2 6 2.1.................................... 6 2.2 Z........................................ 6 2.3.................................. 6 2.3.1..................

More information

untitled

untitled Fortran90 ( ) 17 12 29 1 Fortran90 Fortran90 FORTRAN77 Fortran90 1 Fortran90 module 1.1 Windows Windows UNIX Cygwin (http://www.cygwin.com) C\: Install Cygwin f77 emacs latex ps2eps dvips Fortran90 Intel

More information

Excel ではじめる数値解析 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. このサンプルページの内容は, 初版 1 刷発行時のものです.

Excel ではじめる数値解析 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます.   このサンプルページの内容は, 初版 1 刷発行時のものです. Excel ではじめる数値解析 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/009631 このサンプルページの内容は, 初版 1 刷発行時のものです. Excel URL http://www.morikita.co.jp/books/mid/009631 i Microsoft Windows

More information

untitled

untitled II 4 Yacc Lex 2005 : 0 1 Yacc 20 Lex 1 20 traverse 1 %% 2 [0-9]+ { yylval.val = atoi((char*)yytext); return NUM; 3 "+" { return + ; 4 "*" { return * ; 5 "-" { return - ; 6 "/" { return / ; 7 [ \t] { /*

More information

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM R01AN0724JU0170 Rev.1.70 MCU EEPROM RX MCU 1 RX MCU EEPROM VEE VEE API MCU MCU API RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 R01AN0724JU0170 Rev.1.70 Page 1 of 33 1.... 3 1.1... 3 1.2... 3

More information

joho09.ppt

joho09.ppt s M B e E s: (+ or -) M: B: (=2) e: E: ax 2 + bx + c = 0 y = ax 2 + bx + c x a, b y +/- [a, b] a, b y (a+b) / 2 1-2 1-3 x 1 A a, b y 1. 2. a, b 3. for Loop (b-a)/ 4. y=a*x*x + b*x + c 5. y==0.0 y (y2)

More information

1 5 13 4 1 41 1 411 1 412 2 413 3 414 3 415 4 42 6 43 LU 7 431 LU 10 432 11 433 LU 11 44 12 441 13 442 13 443 SOR ( ) 14 444 14 445 15 446 16 447 SOR 16 448 16 45 17 4 41 n x 1,, x n a 11 x 1 + a 1n x

More information

ẍ = kx, (k > ) (.) x x(t) = A cos(ωt + α) (.). d/ = D. d dt x + k ( x = D + k ) ( ) ( ) k k x = D + i D i x =... ( ) k D + i x = or ( ) k D i x =.. k.

ẍ = kx, (k > ) (.) x x(t) = A cos(ωt + α) (.). d/ = D. d dt x + k ( x = D + k ) ( ) ( ) k k x = D + i D i x =... ( ) k D + i x = or ( ) k D i x =.. k. K E N Z OU 8 9 8. F = kx x 3 678 ẍ = kx, (k > ) (.) x x(t) = A cos(ωt + α) (.). d/ = D. d dt x + k ( x = D + k ) ( ) ( ) k k x = D + i D i x =... ( ) k D + i x = or ( ) k D i x =.. k. D = ±i dt = ±iωx,

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

新版明解C言語 実践編

新版明解C言語 実践編 2 List - "max.h" a, b max List - max "max.h" #define max(a, b) ((a) > (b)? (a) : (b)) max List -2 List -2 max #include "max.h" int x, y; printf("x"); printf("y"); scanf("%d", &x); scanf("%d", &y); printf("max(x,

More information

9 8 7 (x-1.0)*(x-1.0) *(x-1.0) (a) f(a) (b) f(a) Figure 1: f(a) a =1.0 (1) a 1.0 f(1.0)

9 8 7 (x-1.0)*(x-1.0) *(x-1.0) (a) f(a) (b) f(a) Figure 1: f(a) a =1.0 (1) a 1.0 f(1.0) E-mail: takio-kurita@aist.go.jp 1 ( ) CPU ( ) 2 1. a f(a) =(a 1.0) 2 (1) a ( ) 1(a) f(a) a (1) a f(a) a =2(a 1.0) (2) 2 0 a f(a) a =2(a 1.0) = 0 (3) 1 9 8 7 (x-1.0)*(x-1.0) 6 4 2.0*(x-1.0) 6 2 5 4 0 3-2

More information

1. A0 A B A0 A : A1,...,A5 B : B1,...,B

1. A0 A B A0 A : A1,...,A5 B : B1,...,B 1. A0 A B A0 A : A1,...,A5 B : B1,...,B12 2. 3. 4. 5. A0 A, B Z Z m, n Z m n m, n A m, n B m=n (1) A, B (2) A B = A B = Z/ π : Z Z/ (3) A B Z/ (4) Z/ A, B (5) f : Z Z f(n) = n f = g π g : Z/ Z A, B (6)

More information

I ASCII ( ) NUL 16 DLE SP P p 1 SOH 17 DC1! 1 A Q a q STX 2 18 DC2 " 2 B R b

I ASCII ( ) NUL 16 DLE SP P p 1 SOH 17 DC1! 1 A Q a q STX 2 18 DC2  2 B R b I 4 003 4 30 1 ASCII ( ) 0 17 0 NUL 16 DLE SP 0 @ P 3 48 64 80 96 11 p 1 SOH 17 DC1! 1 A Q a 33 49 65 81 97 113 q STX 18 DC " B R b 34 50 66 8 98 114 r 3 ETX 19 DC3 # 3 C S c 35 51 67 83 99 115 s 4 EOT

More information

z f(z) f(z) x, y, u, v, r, θ r > 0 z = x + iy, f = u + iv C γ D f(z) f(z) D f(z) f(z) z, Rm z, z 1.1 z = x + iy = re iθ = r (cos θ + i sin θ) z = x iy

z f(z) f(z) x, y, u, v, r, θ r > 0 z = x + iy, f = u + iv C γ D f(z) f(z) D f(z) f(z) z, Rm z, z 1.1 z = x + iy = re iθ = r (cos θ + i sin θ) z = x iy f f x, y, u, v, r, θ r > = x + iy, f = u + iv C γ D f f D f f, Rm,. = x + iy = re iθ = r cos θ + i sin θ = x iy = re iθ = r cos θ i sin θ x = + = Re, y = = Im i r = = = x + y θ = arg = arctan y x e i =

More information

橡魅力ある数学教材を考えよう.PDF

橡魅力ある数学教材を考えよう.PDF Web 0 2 2_1 x y f x y f f 2_2 2 1 2_3 m n AB A'B' x m n 2 1 ( ) 2_4 1883 5 6 2 2_5 2 9 10 2 1 1 1 3 3_1 2 2 2 16 2 1 0 1 2 2 4 =16 0 31 32 1 2 0 31 3_2 2 3_3 3_4 1 1 GO 3 3_5 2 5 9 A 2 6 10 B 3 7 11 C

More information

num2.dvi

num2.dvi kanenko@mbk.nifty.com http://kanenko.a.la9.jp/ 16 32...... h 0 h = ε () 0 ( ) 0 1 IEEE754 (ieee754.c Kerosoft Ltd.!) 1 2 : OS! : WindowsXP ( ) : X Window xcalc.. (,.) C double 10,??? 3 :, ( ) : BASIC,

More information

- - http://168iroha.net 018 10 14 i 1 1 1.1.................................................... 1 1.................................................... 7.1................................................

More information

Appendix A BASIC BASIC Beginner s All-purpose Symbolic Instruction Code FORTRAN COBOL C JAVA PASCAL (NEC N88-BASIC Windows BASIC (1) (2) ( ) BASIC BAS

Appendix A BASIC BASIC Beginner s All-purpose Symbolic Instruction Code FORTRAN COBOL C JAVA PASCAL (NEC N88-BASIC Windows BASIC (1) (2) ( ) BASIC BAS Appendix A BASIC BASIC Beginner s All-purpose Symbolic Instruction Code FORTRAN COBOL C JAVA PASCAL (NEC N88-BASIC Windows BASIC (1 (2 ( BASIC BASIC download TUTORIAL.PDF http://hp.vector.co.jp/authors/va008683/

More information

(1) (2) (3) (4) HB B ( ) (5) (6) (7) 40 (8) (9) (10)

(1) (2) (3) (4) HB B ( ) (5) (6) (7) 40 (8) (9) (10) 2017 12 9 4 1 30 4 10 3 1 30 3 30 2 1 30 2 50 1 1 30 2 10 (1) (2) (3) (4) HB B ( ) (5) (6) (7) 40 (8) (9) (10) (1) i 23 c 23 0 1 2 3 4 5 6 7 8 9 a b d e f g h i (2) 23 23 (3) 23 ( 23 ) 23 x 1 x 2 23 x

More information

c y /2 ddy = = 2π sin θ /2 dθd /2 [ ] 2π cos θ d = log 2 + a 2 d = log 2 + a 2 = log 2 + a a 2 d d + 2 = l

c y /2 ddy = = 2π sin θ /2 dθd /2 [ ] 2π cos θ d = log 2 + a 2 d = log 2 + a 2 = log 2 + a a 2 d d + 2 = l c 28. 2, y 2, θ = cos θ y = sin θ 2 3, y, 3, θ, ϕ = sin θ cos ϕ 3 y = sin θ sin ϕ 4 = cos θ 5.2 2 e, e y 2 e, e θ e = cos θ e sin θ e θ 6 e y = sin θ e + cos θ e θ 7.3 sgn sgn = = { = + > 2 < 8.4 a b 2

More information

2004 2005 2 2 1G01P038-0 1 2 1.1.............................. 2 1.2......................... 2 1.3......................... 3 2 4 2.1............................ 4 2.2....................... 4 2.3.......................

More information

mstrcpy char *mstrcpy(const char *src); mstrcpy malloc (main free ) stdio.h fgets char *fgets(char *s, int size, FILE *stream); s size ( )

mstrcpy char *mstrcpy(const char *src); mstrcpy malloc (main free ) stdio.h fgets char *fgets(char *s, int size, FILE *stream); s size ( ) 2008 3 10 1 mstrcpy char *mstrcpy(const char *src); mstrcpy malloc (main free ) stdio.h fgets char *fgets(char *s, int size, FILE *stream); s size ( ) stream FILE ( man ) 40 ( ) %./a.out String : test

More information

1 12 ( )150 ( ( ) ) x M x 0 1 M 2 5x 2 + 4x + 3 x 2 1 M x M 2 1 M x (x + 1) 2 (1) x 2 + x + 1 M (2) 1 3 M (3) x 4 +

1 12 ( )150 ( ( ) ) x M x 0 1 M 2 5x 2 + 4x + 3 x 2 1 M x M 2 1 M x (x + 1) 2 (1) x 2 + x + 1 M (2) 1 3 M (3) x 4 + ( )5 ( ( ) ) 4 6 7 9 M M 5 + 4 + M + M M + ( + ) () + + M () M () 4 + + M a b y = a + b a > () a b () y V a () V a b V n f() = n k= k k () < f() = log( ) t dt log () n+ (i) dt t (n + ) (ii) < t dt n+ n

More information

25

25 24 25 26 27 28 29 30 31 32 33 34 35 36 NEXT 37 38 39 40 1 2 3 4 5 6 1 1 1 42 2 1 43 1 DIGITAL 44 1 2 3 45 2 1 2 46 3 DIGITAL 4 47 2 1 1 DIGITAL 48 1 2 3 49 2 1 2 50 1 2 3 51 4 5 52 6 7 8 53 1 2 54 3 1

More information

卒 業 研 究 報 告

卒 業 研 究 報 告 VHDL 1040183 16 2 17 1 1 2 2 2 2 2 1 2 2 2 3 7 3 18 19 20 22 23 25 4 VHDL 27 27 8 BCD 2 27 28 REG_B 29 29 STATE 29 31 VHDL 5 VHDL 1 CPU Hardware Description Language : HDL VHDL VHSIC HDL 1 2 3 VHDL 4 3

More information

[ ] 0.1 lim x 0 e 3x 1 x IC ( 11) ( s114901) 0.2 (1) y = e 2x (x 2 + 1) (2) y = x/(x 2 + 1) 0.3 dx (1) 1 4x 2 (2) e x sin 2xdx (3) sin 2 xdx ( 11) ( s

[ ] 0.1 lim x 0 e 3x 1 x IC ( 11) ( s114901) 0.2 (1) y = e 2x (x 2 + 1) (2) y = x/(x 2 + 1) 0.3 dx (1) 1 4x 2 (2) e x sin 2xdx (3) sin 2 xdx ( 11) ( s [ ]. lim e 3 IC ) s49). y = e + ) ) y = / + ).3 d 4 ) e sin d 3) sin d ) s49) s493).4 z = y z z y s494).5 + y = 4 =.6 s495) dy = 3e ) d dy d = y s496).7 lim ) lim e s49).8 y = e sin ) y = sin e 3) y =

More information

XMPによる並列化実装2

XMPによる並列化実装2 2 3 C Fortran Exercise 1 Exercise 2 Serial init.c init.f90 XMP xmp_init.c xmp_init.f90 Serial laplace.c laplace.f90 XMP xmp_laplace.c xmp_laplace.f90 #include int a[10]; program init integer

More information

1 4 2 EP) (EP) (EP)

1 4 2 EP) (EP) (EP) 2003 2004 2 27 1 1 4 2 EP) 5 3 6 3.1.............................. 6 3.2.............................. 6 3.3 (EP)............... 7 4 8 4.1 (EP).................... 8 4.1.1.................... 18 5 (EP)

More information

r07.dvi

r07.dvi 19 7 ( ) 2019.4.20 1 1.1 (data structure ( (dynamic data structure 1 malloc C free C (garbage collection GC C GC(conservative GC 2 1.2 data next p 3 5 7 9 p 3 5 7 9 p 3 5 7 9 1 1: (single linked list 1

More information

C 2 / 21 1 y = x 1.1 lagrange.c 1 / Laglange / 2 #include <stdio.h> 3 #include <math.h> 4 int main() 5 { 6 float x[10], y[10]; 7 float xx, pn, p; 8 in

C 2 / 21 1 y = x 1.1 lagrange.c 1 / Laglange / 2 #include <stdio.h> 3 #include <math.h> 4 int main() 5 { 6 float x[10], y[10]; 7 float xx, pn, p; 8 in C 1 / 21 C 2005 A * 1 2 1.1......................................... 2 1.2 *.......................................... 3 2 4 2.1.............................................. 4 2.2..............................................

More information

LSI LSI 2

LSI LSI 2 LSI LSI 2 P=CV 2 F 3 4 5 EDA Electric Design Automation) LSI CAD Computer Aided Design) Verilog Verify Logic VHDL VHSIC Description Language) SystemC C SFL Structured Functional description Language) NTT

More information

ohp07.dvi

ohp07.dvi 19 7 ( ) 2019.4.20 1 (data structure) ( ) (dynamic data structure) 1 malloc C free 1 (static data structure) 2 (2) C (garbage collection GC) C GC(conservative GC) 2 2 conservative GC 3 data next p 3 5

More information

:30 12:00 I. I VI II. III. IV. a d V. VI

:30 12:00 I. I VI II. III. IV. a d V. VI 2018 2018 08 02 10:30 12:00 I. I VI II. III. IV. a d V. VI. 80 100 60 1 I. Backus-Naur BNF N N y N x N xy yx : yxxyxy N N x, y N (parse tree) (1) yxyyx (2) xyxyxy (3) yxxyxyy (4) yxxxyxxy N y N x N yx

More information

A (1) = 4 A( 1, 4) 1 A 4 () = tan A(0, 0) π A π

A (1) = 4 A( 1, 4) 1 A 4 () = tan A(0, 0) π A π 4 4.1 4.1.1 A = f() = f() = a f (a) = f() (a, f(a)) = f() (a, f(a)) f(a) = f 0 (a)( a) 4.1 (4, ) = f() = f () = 1 = f (4) = 1 4 4 (4, ) = 1 ( 4) 4 = 1 4 + 1 17 18 4 4.1 A (1) = 4 A( 1, 4) 1 A 4 () = tan

More information

void hash1_init(int *array) int i; for (i = 0; i < HASHSIZE; i++) array[i] = EMPTY; /* i EMPTY */ void hash1_insert(int *array, int n) if (n < 0 n >=

void hash1_init(int *array) int i; for (i = 0; i < HASHSIZE; i++) array[i] = EMPTY; /* i EMPTY */ void hash1_insert(int *array, int n) if (n < 0 n >= II 14 2018 7 26 : : proen@mm.ics.saitama-u.ac.jp 14,, 8 2 12:00 1 O(1) n O(n) O(log n) O(1) 32 : 1G int 4 250 M 2.5 int 21 2 0 100 0 100 #include #define HASHSIZE 100 /* */ #define NOTFOUND 0

More information

pptx

pptx iphone 2010 8 18 C xkozima@myu.ac.jp C Hello, World! Hello World hello.c! printf( Hello, World!\n );! os> ls! hello.c! os> cc hello.c o hello! os> ls! hello!!hello.c! os>./hello! Hello, World!! os>! os>

More information

A/B (2018/10/19) Ver kurino/2018/soft/soft.html A/B

A/B (2018/10/19) Ver kurino/2018/soft/soft.html A/B A/B (2018/10/19) Ver. 1.0 kurino@math.cst.nihon-u.ac.jp http://edu-gw2.math.cst.nihon-u.ac.jp/ kurino/2018/soft/soft.html 2018 10 19 A/B 1 2018 10 19 2 1 1 1.1 OHP.................................... 1

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

Krylov (b) x k+1 := x k + α k p k (c) r k+1 := r k α k Ap k ( := b Ax k+1 ) (d) β k := r k r k 2 2 (e) : r k 2 / r 0 2 < ε R (f) p k+1 :=

Krylov (b) x k+1 := x k + α k p k (c) r k+1 := r k α k Ap k ( := b Ax k+1 ) (d) β k := r k r k 2 2 (e) : r k 2 / r 0 2 < ε R (f) p k+1 := 127 10 Krylov Krylov (Conjugate-Gradient (CG ), Krylov ) MPIBNCpack 10.1 CG (Conjugate-Gradient CG ) A R n n a 11 a 12 a 1n a 21 a 22 a 2n A T = =... a n1 a n2 a nn n a 11 a 21 a n1 a 12 a 22 a n2 = A...

More information

6 6.1 sound_wav_files flu00.wav.wav 44.1 khz 1/44100 spwave Text with Time spwave t T = N t N 44.1 khz t = 1 sec j t f j {f 0, f 1, f 2,, f N 1

6 6.1 sound_wav_files flu00.wav.wav 44.1 khz 1/44100 spwave Text with Time spwave t T = N t N 44.1 khz t = 1 sec j t f j {f 0, f 1, f 2,, f N 1 6 6.1 sound_wav_files flu00.wav.wav 44.1 khz 1/44100 spwave Text with Time spwave t T = t 44.1 khz t = 1 sec 44100 j t f j {f 0, f 1, f 2,, f 1 6.2 T {f 0, f 1, f 2,, f 1 T ft) f j = fj t) j = 0, 1, 2,,

More information