untitled

Size: px
Start display at page:

Download "untitled"

Transcription

1 ATLAS Takashi Kuwabara

2 Abstract CERN ATLAS 1 LHC Large Hadron Collider ATLAS LHC 1 ATLAS 3 LVL1 LVL2 EF LVL1 LVL2 EF LVL1 TGC TGC TGC

3 Contents 1 LHC LHC ATLAS Experiment ATLAS Higgs Higgs ATLAS ATLAS &DAQ ATLAS &DAQ LVL LVL EF TGC TGC EI/FI TGC TGC TGC overlap TGC p T TGC TGC ii

4 ASD Board PP ASIC SLB ASIC JRC DCS PS Board SPP Board PS Pack HPT SL SSW ROD HSC CCI Online Online TGC PSB-DCS SSW HPT SL PT PT S-Link HDL SSW S-Link HDL CTM HDL CTM HDL HDL Manual TGC ATLAS TGC TGC ToDo iii

5 Delay Scan Online LVDS IC Online JTAG TGC PS PIN ATLAS PIT A PSB 100 A.1 SLB register A.2 PP register B Data Format 102 B.1 ROD Data Format B.2 PSB Data Format B.3 SSW Data Format iv

6 List of Figures LHC LHC CMS Alice LHC-B Higgs Higgs Higgs Higgs 10fb Higgs 30fb Higgs ATLAS R-Z MDT CSC RPC TGC η X-Y z=10.5m Trigger & DAQ LVL TTCpartition R-Z TGC M3 pivot TGC EI/FI TGC TGC TGC TGC Triplet doublet v

7 3.9 TGC TGC overlap p T TGC TGC TGC TGC TGC TGC PS Pack TGC TGC R-Z ASD Board ASD ASIC PP ASIC SLB AISC Wire Doublet JRC DCS PS Board PS Board Service Patch Pane PS Pack HPT HPT HPT Board SL SL SL SSW Test ROD HSC CCI Online Soft DAQ GUI Controll Line TGCJSegment PSB-DCS PSB-DCS PSB GUI DCS GUI vi

8 5.5 PSB-DCS TP Trigger L1A PT PT PT5 data G-Link SSW ROS ROD G-Link CTM HDL LVDS ATLAS Building180 ATLAS PIT L1A Delay Delay C-Side M T7/T DCS GUI Delay Scan ASD LVDS IC EWD1 JRC HV T9/T T7/T T5/T T9/T T7/T T5/T T2 PIN vii

9 6.29 1/ IP Side TGC M1 Layer B.1 Test ROD B.2 PS B.3 SSW viii

10 List of Tables 1.1 LHC ATLAS TTC PS PT5 FPGA PSB SSW RxFGPGA A.1 SLB ASIC A.2 PP ASIC ix

11 Abbreviations ADC: Analog-Digital Converter ALICE: A Large Ion Collider Experiment ASD: Amplifier Shaper Discriminator ASIC: Application Specific Integrated Circuit ATLAS: A Toroidal LHC AppratuS BCID: Bunch Crossing IDentifier BGA: Ball Grid Array CAN: Controller Area Network CASTOR: CERN Advanced STORage CCI: Crate Control Interface CLB: Configurable Logic Block CMS: the Compact Muon Solenoid COTS: Component Off The Shelf CPLD: Complex Programmable Logic Device CSC: Cathod Strip Chamber CTM: Commissioning Trigger Module CTP: Central Trigger Processor DAC: Digital-Analog Converter DCS: Detector Control System EF: Event Filter EI: Endcap Inner elmb: embeded Local Monitor Box FElink: Front End link FI: Forward Inner FPGA: Field Programmable Gate Array G-link: Gigabit rate optical link HPT: High-Pt Board HSC: High-Pt Star Switch Controller x

12 I2C: Inter Integrated Circuit IP: Interaction Point JRC: JTAG Route Controller JTAG: Joint Test Action Group (Boundary scan) L1A: Level-1 trigger Accept L1ID: Level-1 trigger IDentifier LEP: Large Electron Positron collider LHC: Large Hadron Collider LVDS: Low Voltage Differential Signaling LSlink: Local Slave link LUT: Look Up Table MDT: Monitor Drift Tube MUCTPI: Muon Central Trigger Processor Interface PP: Patch Panel PPG: Pulse Pattern Generator PSB: Patch panel Slave board Board PT4: ProtoType module version.4 PT5: ProtoType module version.5 QFP: Quad Flat Package QGP: Quark Gluon Plasma RCD: ROD Crate DAQ ROB: Read Out Buffer ROD: Read Out Driver RoI: Region of Interest ROS: Read Out System RPC: Resistive Plate Chamber RTC: Radiation Tolerance Criteria SCT: SemiConductor Tracker SD: Strip Doublet chamber SEE: Single Event Effect SEU: Single Event Upset SEL: Single Event Latchup SL: Sector Logic SLAC: Stanford Linear Accelerator Center SLB: Slave Board SLC: SLAC Linear Collider SPP: Service Patch Panel xi

13 SRAM: Static Random Access Memory SRL: Simulated Radiation Level SSC: Sub-Sector Cluster SSW: Star Switch ST: Strip Triplet chamber TGC: Thin Gap Chamber TID: Total Ionizing Dose TRT: Transition Radiation Tracker TTC: Timing Trigger Control TTL: Transistor-Transistor Logic VME: Versa Module Europe WD: Wire Doublet chamber WT: Wire Triplet chamber xii

14 1 LHC LHC Large Hadron Collider LEP Large Electron Positron collider SLC SLAC Linear Collider Tevatron 3 Higgs Higgs 1.1 Higgs TeV LHC 1.1: Higgs [1] 1.2: [1] 1

15 1.2 LHC LHC 2007 CERN 100m 2000 LEP LHC 27Km 1.3 LHC 1.3: LHC PS Proton Synchrotron SPS Super Proton Synchrotron 450GeV LHC 7TeV [2] LHC 14TeV LHC 14TeV LHC 8.4T 7TeV Higgs 100GeV 1TeV TeV 40.08MHz LHC 1.1 γ 4 /ρ 2 γ = E/mc 2 ρ

16 1.1: LHC 26.66km + 7.0TeV+7.0TeV cm 2 sec cm 2 sec GeV 40.08MHz 24.95nsec mm μm 200μrad 1.4 LHC 4 ATLAS A Toroidal LHC AppratuS ATLAS CMS the Compact Muon Solenoid 1.5 ALICE A Large Ion Collider Experiment 1.6 B-Physics LHC-B : LHC 4 LHC ATLAS CMS Alice LHC-B [2] 1.5: CMS 1.6: Alice 1.7: LHC-B [2] QGP Quark Gluon Plasma [2] B CP [2] 3

17 2 ATLAS Experiment LHC ATLAS ATLAS ATLAS 1 LHC ATLAS ATLAS TGC 3 ATLAS TGC LVL1 ATLAS 2.1 ATLAS Higgs ATLAS Higgs Higgs Higgs ATLAS Higgs ATLAS 100GeV 1TeV Higgs Higgs Higgs gg H gluon fusion 4

18 Higgs p T H γγ,zz( llll),w + W (lνlν) 2. qq qqh W/Z fusion Higgs p T 2 2 QCD Higgs 3. qq (W/Z)H W/Z associate production Higgs W/Z 4. qq/gg tth top associate production Higgs QCD Higgs 2.1: Higgs Higgs 5

19 [pb] gg H (pp H+X) pb s = 14 TeV M t = 175 GeV CTEQ4M qq _ HW qq Hqq (VBF) M H gg,qq _ Hbb _ qq _ gg,qq _ GeV HZ Htt _ 2.2: Higgs Higgs gluon fusion [3] Higgs Higgs 2.3 Higgs 1. H γγ m H <150 GeV b b c c τ + τ QCD H γγ M γγ Higgs 2. H ττ m H <150 GeV Higgs γγ W/Z fusion Higgs Z τ ET miss 3. H ZZ 4l ± 120GeV 180GeV m z Z 6

20 ZZ Zγ t t Zb b ZZ Zγ t t Zb b Z Z 4. H ZZ 4l ± 180GeV 800GeV m Z Higgs 5. H ZZ llνν 400GeV H ZZ 4l ± 6 νν ET miss 6. H WW lν jj,h ZZ ll jj 600GeV H ZZ 4l ± H WW lν jj 150 H ZZ ll jj 20 Higgs W/Z 2.3: Higgs Higgs [3] LHC fb 1 30fb 1 ATLAS Higgs ATLAS 115GeV 1TeV 5σ Higgs 7

21 Signal significance 10 2 L dt = 10 fb -1 (no K-factors) ATLAS qqh qq WW (*) qqh qq VBF, combined VBF, + + tth(bb) + ZZ * Signal significance 10 2 L dt = 30 fb -1 (no K-factors) ATLAS H tth (H bb) H ZZ (*) 4 l H WW (*) l l qqh qq WW (*) qqh qq Total significance m H (GeV/c 2 ) m H (GeV/c 2 ) 2.4: Higgs 10fb 1 Higgs 1 run LHC 10fb 1 ATLAS [4] 2.5: Higgs 30fb 1 Higgs 3 run LHC 30fb 1 ATLAS [4] SUSY LEP 1.2 1/2 q l glhc R χ 2,3,4 0 χ± 1,2 LSP Lightest SUSY Particle LSP χ 1 0 ET miss ET miss 1. Multijets+E miss T g q q χ 1 0 jets + ET miss q q χ 1 0 jets + ET miss 8

22 g 2(q q χ ± i ) 2(q q W ± χ 0 1 ) 2(jets + l ± + E miss T ) 3. 3 χ ± 1 χ0 2 lν χ ll χ 0 1 3l + E miss T Higgs MSSM Minimal Supersymmetric extension of Standard Model 2 Higgs 2 5 Higgs 5 H ± h H A Higgs 2 tanβ m A MSSM Higgs 1. H/A ττ Higgs MSSM τ 2 2. H/A μμ H/A ττ (m μ /m τ ) 2 ττ 3. H hh hh bb b b hh γγ b b 2 Higgs 4. A Zh 2 Higgs Zh llb b Z Higgs Higgs 120GeV Higgs 150GeV Higgs 9

23 Events/0.6 GeV = 2.3 GeV H o reconstructed mass (GeV) 2.6: 4 Higgs Higgs H ZZ μ + μ μ + μ Higgs 150GeV μ Z [5] 2.2 ATLAS ATLAS ATLAS 22m 44m 7000t 2.7 LHC E T miss ATLAS 10

24 22m 44m Y R X Z 2.7: ATLAS ATLAS 22m 44m [2] ET miss p T high-p T p T > 20GeV η φ Toroidal Magnet p T 10 ATLAS η < 1 1 < η 2 η θ η = ln(tanθ/2) η 11

25 1 < η < 1.9 Endcap η > 1.9 Forward T 2.8 Pixel SCTTRT μm 300μm SCT TRT 4mm 2.8: SCT TRT [5] γ ATLAS 4 2 η 2.9 Endcap Forward 2 γ 12

26 / 2.9 EM Accordion Calorimeters Hadronic Tile Calorimeters Forward LAr Calorimeters Hadronic LAr End Cap Calorimeters 2.9: 2 [5] ATLAS MDT Monitor Drift Tube CSC Cathod Strip Chamber RPC Resistive Plate Chamber TGC Thin Gap Chamber 4 ATLAS 2.10 MDT CSC Forward RPC TGC 13

27 Resistive plate chambers Cathode strip chambers Thin gap chambers Monitored drift tube chambers 2.10: MDT CSC RPC TGC [5] 12 m 10 Barrel toroid coil 8 6 End-cap toroid 4 Radiation shield m 2.11: R-Z MDT CSC Forward RPC TGC [5] 2.11 R-Z 3 φ φ R-Z 3 R-Z R 1 φ R-Z φ 2 TGC RPC φ

28 2.1: ATLAS η MDT R-Z mmφ σ x = 60μm CSC 3-dim MWPC σ x = 50μm RPC φ σ t = 1ns TGC φ σ t = 4ns MDT MDT R-Z mm 50μm 60μm 30 Cross plate Multilayer In-plane alignment Longitudinal beam 2.12: MDT 30mm [5] CSC CSC η 2 MWPC mm 5.08mm 30nsec 60μm 15

29 Rohacell Wires Strips Nomex honeycomb 0.5 mm G10 laminates Cathode read-out Spacer bar Sealing rubber Gas inlet/ outlet Anode read-out HV capacitor Conductive epoxy Wire fixation bar Epoxy 2.13: CSC MWPC [5] RPC RPC η < 1.05 R-Z R-φ ±0.02mm RPC : RPC 2 [5] TGC TGC TGC μm 1.8mm 1.4mm

30 Copper Strip 2.8mm Carbon FR4 1.8mm ASD(Wire Out) 1 2m +HV R 1 2m ASD(Strip Out) 2.15: TGC ATLAS Tm 4 8Tm η 2.17 φ R : [5] 17

31 Bdl (Tm) Barrel region = /8 Transition region End-cap region 2.5 = : η 1 6Tm 4 8Tm [5] 2.18: X-Y z=10.5m R [5] 2.3 ATLAS &DAQ LHC 40.08MHz 23 1GHz 1 1.5MByte 300Mbyte/s 1GHz ATLAS 3 200Hz DAQ LVL1 TGC ATLAS &DAQ ATLAS 2.19 LVL1 LVL2 EF 3 18

32 Interaction rate ~1 GHz Bunch crossing rate 40 MHz LEVEL 1 TRIGGER LVL1 < 75 (100) khz L1A RoIs RoI Builder LVL2 Supervisor RoIB L2SV CALO MUON TRACKING ROD ROD ROD ROB ROB ROB Pipeline memories Derandomizers Readout drivers (RODs) Read Out Links (ROLs) Read Out Systems (ROSs) latency 2.5 s 10ms LEVEL 2 TRIGGER ~ 2kHz L2Ps LVL2 Processors LVL2 & Event Builder Networks SFIs DFM Data Flow Manager Sub Farm Input 1sec EVENT FILTER ~ 200 Hz SFOs Sub Farm Output Mass Strage Data recording 2.19: Trigger & DAQ ATLAS 3 LVL1 LVL2 EF [7] LVL1 L1A LVL1 RPC TGC L1A 2.5μs 100 L1A Derandomizer L1A ROD Read Out Driver BCID ID L1A L1ID LVL1ID ROD BCID L1ID S-Link Simple Link Interface ROL Read Out Link ROB Read 19

33 Out Buffer ROB ROS Read Out System ROB LVL2 LVL2 RoI Region Of Interest 10ms ROB EF EF 1s LVL1 LVL MHz 75kHz 2.20 LVL1 TGC RPC MUCTPI Muon Trigger to CTP Interface CTP Central Trigger Processor TTC Timing Trigger and Control distribution system 75kHz 100kHz e/γ ET miss τ Jet p T CTP 2.5μsec RPC TGC p T CTP L1A Level1 Accept L1A TTC Calorimeter Trigger Muon Trigger Front-end Preprocessor Endcap Muon Trigger (TGC based) Barrel Muon Trigger (RPC based) Cluster Processor (electron/photon and hadron/tau triggers) Jet/Energy-sum Processor Muon Trigger / CTP Interface Central Trigger Processor RoI Builder TTC 2.20: LVL1 LVL1 CTP TTC ATLAS [6] 20

34 Cluster Processor & Jet/Energy-sum Processor Calorimeter Processor BCID Cluster Processor Jet/Energy-sum Processor Cluster Processor high-p T e/γ /τ Jet/Enerty-sum Processor Jet high-e T ET miss 6 8 Jet Jet CTP MUCTPI MUCTPI TGC RPC CTP RPC TGC p T MUCTPI TGC Forward 24 Endcap 48 1 RoI Region of Interest p T MUCTPI CTP LVL2 RoIB RoIBuilder CTP CTP Level1 e/γ τ/ p T CTP 96 CTP L1A CTP TTC L1A CTP 4 100nsec TTC TTC BC clock L1A TTC 2.2 TTC TGC RPC 21

35 2.2: TTC BC Clock L1A BCR ECR EVID L1ID BCID Bunch-Crossing signal LHC 40.08MHz Level 1 Accept CTP Bunch Counter Reset BCID BCID Event Counter Reset L1ID L1A EVent IDentifier ROD ROB L1ID LVL1ID Bunch-Crossing IDentifier ROD ROB Level1ID TTC ATLAS partition TGC 2 partition partition ROD partition 2 ROD Trigger Word 1 TTCpartition TTCvi VME TTC clock orbit TTCvi partition test run partition TTCpartition TTCvi LTP Local Trigger Processor TTCvx RODbusy TTCpartition LTP partition TTC LHC 40.08MHz BC μsec ORBIT CTP L1A L1A ORBIT TTCvi TTCvx TTCvi L1A TTCvx TTCvx TTCrx ASIC Application Specific Integrated Circuit TTCvi TTCvx A-Channel B-Channel 2 A-Channel L1A B-Channel TTCrx TTCrx RODbusy TTCpartition ROD busy LTP LTP busy CTP 22

36 2.21: TTCpartition TTCpartition TTCvi LTP TTCvx RODbusy LVL2 LVL2 75kHz 3kHz MDT LVL1 RoI Region of Interest RoI LVL1 RoIB RoI Builder RoI RoI LVL1 L2SV LVL2 SuperVisor L2SV RoI LVL1 LVL2 L2P LVL2 Processor L2P LVL2 ROS LVL2 LVL2 Accept L2SV DFM Data Flow Manager LVL2 3kHz L2P 500 PC LVL2 1 10msec LVL2 10msec LVL2 LVL2 Accept DFM DFM SFI Sub Farm Input buffer SFI LVL2 ROS EF SFI EF EF Event Filter 200Hz EF EF 1600 PC EF sub farm EF sub farm SFI EF sub farm EFD EF Data flow control program SFI 23

37 EFD SFI EF 200Hz EF sub farm 1 1sec EF SFI EF sub farm EF Accept EFD SFO Sub Farm Output buffer SFO Disk 1.5MByte 300MByte/s 24

38 3 TGC ATLAS TGC LVL1 R φ TGC TGC TGC TGC 3.1 TGC ATLAS TGC 3700 R 22 φ TGC M1 M2 middle M3 pivot EI Endcap Inner FI Forward Inner 5 M1 3 Triplet M2 M3 2 Doublet 7 M1 T1 T2 T3 M2 D4 D5 M3 D6 D7 ATLAS R(mm) M2(middle) middle MDT M3(pivot) M EI Inner MDT 4000 FI end-cap forward Z (mm) 3.1: R-Z TGC TGC M1 M2 M3 EI FI 32 [5] 25

39 3.1.1 M1 M2 M < η < 2.7 η < 1.9 Endcap η > 1.9 Forward 1/12 TGC φ / / Endcap φ 4 Forward φ φ 1 Endcap η 37 φ 4 Forward η 16 φ EI/FI EI/FI 1.05 < η < EI/FI 1 1/8 EI acceptance 70% Sub-sector Trigger Sector 1/ T9 T8 T7 T T5 T mete rs 0 Forward meters : M3 pivot TGC 1/12 φ [5] 3.3: EI/FI TGC 1/8 1 EI acceptance 70% [8] 26

40 3.2 TGC TGC TGC MHz TGC CO 2 /n-pentane 55/45 2.9kV 3.4(a) 1 Drift 2 3.4(b) Drift 3.4(c) 3.4(d) 3.4(e) TGC 3.4: 2 [9] TGC TGC 1 2m TGC TGC MWPC Multi-wire Proportional Chamber mm 1.8mm 25nsec 27

41 50μm 1MΩ cm TGC mm 32 Endcap 4mrad Forward 8mrad mm 1 TGC 2 R φ Graphite layer Pick-up strip HV 50 μm wire 1.8 mm 1.4 mm : TGC 2 3.6: TGC 1.6 mm G mm 1.6mm[5] Gas In Gas=CO2(55):n-pentane(45) 1.5m Gas Out HV=2.9kV AuW wire m Sn/Zn Solder FR4 parts 30cm Carbon Surface 1MΩ /cm 2 FR4 wire support width7mm ceramics button type wire support 7mm 3.7: ATLAS TGC 1 singlet Doublet 3 Triplet Doublet 2 2 Triplet

42 2 1/2 1/ : TGC Triplet doublet ATLAS TGC Triplet Doublet 2 Triplet 3 2 Doublet 2 2 [5] TGC Doublet TGC Triplet Readout Segments Readout Segments 3.9: TGC Triplet 1/3 Doublet 1/ TGC TGC 25nsec LHC 1 25nsec 3.10 TGC TGC 3GeV π nsec 25nsec 29

43 140nsec 0 TGC 25nsec 45 15nsec TGC nsec 3.10: TGC 25ns [5] overlap R φ overlap R OR double count φ OR double count

44 double count Strips not used for trigger decision in pivot plane IP doublet strips 3.11: overlap φ overlap double count [6] 3.3 TGC p T 3.12 p T Pivot Doublet Infinite Momentum Linep T Middle Doublet Triplet Infinite Momentum Line R φ δr δφ p T φ δφ = 0 R φ δφ Low-p T High-p T 2 p T Low-p T 6GeV High-p T 20GeV Middle Doublet Triplet δr δφ δr δφ Middle Doublet Low-p T Pt Triplet High-p T p T 31

45 muon path magnetic field Inf PT line beam line triplet Z=~13m pivot middle Z=~14.5m Z=~14m R Interaction Point 3.12: p T Pivot Doublet IP Interaction Point 32

46 4 TGC TGC TGC Online 4.1 TGC 3 25nsec L1A TGC TGC TGC 4.1 ASD Amplifier Shaper Discriminator PS PP ASIC Patch Panel ASIC PP ASIC Delay SLB ASIC Slave Board ASICSLB ASIC HPT High-Pt Board HPT SLB ASIC 1 HPT R φ SL Sector Logic SL R φ MUCTPI L1A TTC SLB ASIC 1 SLB ASIC SSW Star Switch SSW ROD Readout Driver ROB HSC High-pT Star-switch Controller Board CCI Control Configuration Interface Board 3 33

47 M1 M2 M3 ASD ASD On TGC chambers PS-Board PP delay BCID PP delay BCID Doublets PP delay BCID SLB ASIC 3/4 Coin. Readout elmb JRC DCS SLB ASIC 2/3 Coin. Readout Big Wheel edge Counting Room HSC(VME) crate H-Pt wire H-Pt strip SSW VME64 crates Trigger crate Sector Logic Readout crate ROD Control crate Trigger MUCTPI Readout ROB PP delay BCID JRC HSC CCI Control Triplet elmb DCS TTCvi TTC CTP Service PP CAN TTC signal fan-out to PS-Boards TTCrq DCS LCS 4.1: TGC TGC 3 [10] ASD PP ASIC SLB ASIC HPT SL 4.2 TGC ASD LVDS Low Voltage Differential Signaling PP ASIC PP ASIC TOF Time of Flight SLB ASIC PP ASIC 4 pivot middle Doublet 3 out of 4 Triplet :2 out-of 3:1 out-of 2 HPT SLB ASIC Doublet Triplet p T SL TGC p T 2 SL MUCTPI RPC

48 ASD ASD PP SLB HPT VME VME64x SL MUCTPI Trigger 4.2: TGC ASD PS LVDS PS HPT CAT6 10m 15m HPT SL HPT SL SLB ASIC M2 middle Doublet M3 pivot Doublet Strip( ) 3/4 HPT SL M1 Triplet Wire(R) 3/4 R- Trigger Wire(R) 2/3 Strip( ) 1/ 4.3: TGC SLB ASIC HPT R φ SL R φ PP ASIC SLB ASIC 1 L1A SSW SSW SLB ASIC G-Link ROD PS SLB ASIC SSW ROD ROD SSW TTC ROB

49 L1A CTP ASD ASD PP TTC rx SLB SSW VME VME64x ROD ROB Readout LVL1 Buffer Derandomizer 4.4: TGC LVL1 SLB ASIC SSW SSW SLB ASIC ROD B U S 4.5: TGC ASD PS SSW SSW SLB ASIC ROD ATLAS DCS Detector Control System 4.6 ADC Analog-Digital Converter DAC Digital-AnalogConverter elmb embedded Local Monitor Box PS HPT/SSW VME HSC CCI HSC PS PP ASIC/SLB ASIC SSW TGC DCS SSW PP ASIC/SLB ASIC elmb PS JTAG PP ASIC/SLB ASIC VME Versa Module Europe IEEE 96 DIN 32 50MB/sec IC 1 JTAG IC JTAG 36

50 JRC JTAG Routing Controller 4.6: TGC SSW HPT PC CCI HSC SSW JRC PS JRC elmb ASD ROD SL PC 4.2 TGC TGC TGC ASD PS Pack 4.7 Triplet Doublet pivot HSC HPT SSW HSC VME HSC 1 1/ UXA15 IC ASIC Antifuse FPGA Field Programmable Gate Array SRAM base FPGA m USA15 VME64x CCI SL ROD TAP Test Access Port 5 37

51 4.7: PS Pack PS Pack 1/12 [6] HSC Crate ROD/SL PS Pack 4.8: TGC PS Pack HSC USA15 VME64x CCI SL ROD 38

52 HSC CCI ROD/ROB HSC SSW 4.9: TGC R-Z Triplet PS Pack IP Doublet PS Pack IP ASD Board ASD TGC 4 ASD ASIC ASD ASIC TGC LVDS ASD TGC ASD PP ASIC PS PS ASD ASD ASD ASIC SW 2 i A B Cf = 1 pf R f = 16 k INV NON-INV Cf Rf Cb i G 7 Vth offset setting comparator preamplifier SW-position C b NON-INV > INV B discharged by i NON-INV < INV A charged-up by i 4.10: ASD Board 4.11: ASD ASIC ASD [12] 39

53 4.3.2 PP ASIC ASD TOF PP ASIC ASD LVDS LVDS CMOS variable delay 0 25ns Delay Delay 0.8ns =25ns/31 BCID ID TTC LHC clock TGC OR SLB ASIC PP ASIC ASD JTAG PP ASIC PP ASIC TTL input LVDS input Debug Line 32 Step Variable Delay 32 Step Variable Delay MASK BCID Bypass 32 Step Variable Delay MASK BCID Bypass LVDS Recciver Bypass 32 Step Variable Delay MASK BCID Charge Pomp Filter 32 Step Variable Delay (PPL CLK) 32 Step Variable Delay ( BCID Delay ) CLK Phase Detector 1/2 32 Step Variable Delay ( BCID Gate ) 32 Step Variable Delay ( TPG Fine Delay ) Test Pulse Generator TP Trigger TPG Coarse Delay JTAG TDO TDI TMS TCK 4.12: PP ASIC PP ASIC Delay 1 PP ASIC 32 [11] SLB ASIC SLB ASIC 4.13 SLB ASIC 40

54 Control P art Instruction Data Registers JTAG Tap D C B A BSC 160ch Delay Delay Mask1 Test P ulse P attern Selector Mask2 DEMUX matrix WD matrix SD matrix WT matrix ST matrix EI/FI MUX BSC 40 Input P art Trigger P art Module T ype TTC BSC 4 TPG T rigtest P ulse L1A Delay ECR BCR Input Data Trigger Output Data CLK Event Counter 4bit BCID Counter 12bit L1B (BCID) 160bit Level 1 Buffer (Input Data, 160ch) 40bit L1B (Trigger) Derandomizer 126bit Start bit Stop bit PSC (NXT-BC) [EVID(4) BCID(12) I nputdata(160) T rigger(40)] PSC (CUR-BC) [EVID(4) BCID(12) I nputdata(160) T rigger(40)] PSC (PRV-BC) [EVID(4) BCID(12) I nputdata(160) T rigger(40)] BSC 4 PSC (Status) [SLBID(5) MTYPE(3) OVFLW (8) SE U(1) 199 b0] Read O ut P art 4.13: SLB AISC PP Doublet Triplet 5 Doublet Doublet Triplet Triplet EI/FI ±7 ±3channel 4.14 Triplet Doublet 2/3 Strip 1/2 3/4 PP ASIC 1/2clock : 4.15 SLB ASIC JTAG 41

55 12x2 (middle doublet) inputs 4x2 (pivot doublet) inputs OR ed Y 8 outputs to encoder section a b c C D AB C C = a & b & c + a & b & c Y X = Y = A & C + A & D + B & D X R OR ed X Coincidence W indow(-7-+7) 4.14: Wire Doublet ±7 [6] 4.15: 1 [6] LVL1 LVL1 LVL1 212bit bit 160bit 40bit 12bit CTP L1A L1A 1 3 4bit 3 SSW 42

56 4.3.4 JRC JRC JTAG TRST TCK TMS TDI TDO 5 2 SSW elmb 4.16 CA JTAG CB JTAG JRC Q1 Q7 1 DA JTAG DB JTAG JRC PP ASIC/SLB ASIC PP ASIC/SLB ASIC JRC 7 4 PP ASIC 3 SLB ASIC JRC Antifuse FPGA /DA_TRST DA_TCK DA_TMS DA_TDI CA_JTAG Q1 /Q2_TRST Q2_TCK Q2_TMS Q2_TDI Q2_TDO DA_TDO Q3 Q4 DB_JTAG Q5 Q6 Q7 CB_JTAG 4.16: JRC [13] DCS DCS elmb ADC Analog-DigitalConverter DAC Digital-Analog Converter TGC HV High Voltage ASD elmb CAN 4.17 DCS CAN Controller Area Network 43

57 4.17: DCS PS Board PS 4.18 PP ASIC SLB ASIC JRC elmb PS ASD LVDS PP ASIC TGC overlap OR SLB ASIC SLB ASIC HPT SSW LVDS SSW elmb PP ASIC/SLB ASIC JTAG JRC PS ASIC PS ASD PS SPP Service Patch Panel TTC Timing Trigger Control LHC clock Reset 4.19 PS Service Patch Panel PS Board L1A CAN elmb ASD ASD Patch Panel Variable Delay Variable Delay Variable Delay BCID BCID Patch Panel BCID OR JTAG Route Controller Slave Board SSW HPT Variable Delay BCID LVL1 Buffer Derandomizer 4.18: PS Board PS PP ASIC SLB ASIC : PS Board 44

58 4.3.7 SPP Board SPP TTC TTCrx TTC LHC clock L1A BCR ECR Test Pulse Trigger PS SPP TTCrx I 2 C CAT6 6 HPT SPP PS Pack PS SPP 4.21 PS Pack Triplet Doublet PS Pack 1/ SPP PS Triplet 10 Doublet : Service Patch Pane TTC PS 4.21: PS Pack 1 PS Pack 2 PS HPT HPT Doublet Triplet HPT HPT PS LVDS HPT SLB ASIC Doublet Triplet HPT Triplet 2 Doublet Doublet Triplet p T HPT δr δφ m USA15 SL HPT 45

59 3 3 4 HPT ASIC 4.24 HPT from 4 wire triplet Slave Boards Phase adjust Phase adjust Phase adjust Phase adjust Clock from 3 wire doublet Slave Boards Phase adjust Phase adjust Phase adjust Decoder Decoder 192 x fold Coin. Matrix window size: + 20 H/L Pt hit position δr x 2 track selector SOS063V11 Position + δ R 7-bit x 6 Position + δ R 10-bit x : HPT ±20 from strip triplet Slave Boards Phase adjust Phase adjust Phase adjust Clock Decoder OR Decoder OR Decoder OR from 3 strip doublet Slave Boards Phase adjust Phase adjust Phase adjust Clock Decoder x 64 2-fold Coin. Matrix OR OFF H/L Pt hit position δϕ x 2 KHA010V04 Position + δϕ 6-bit x 6 track selector Position + δϕ 9-bit x : HPT ± SL SL Sector Logic TGC 2 SL R-φ SL HPT HPT R φ HPT R-φ 46

60 SSC Sub-Sector Cluster:R 2 φ 4 Sub-Sector 6 p T p T 6GeV Look-Up Table LUT6 p T p T p T 2 6 p T MUCTPI FPGA SL HPT SL SL SLB USA15 SSW SL SLB JRC 4.25 SL SL 4.25: SL SL FPGA LUT 4.24: HPT Board 47

61 from Hi-Pt board (strip) 9bit x4 decoder BCR ECR FE_BCID counter FE_L1ID counter L1 buffer Derandomizer LS-Link from Hi-Pt board (wire) from EI/FI 10bit x7 14bit decoder Clock R-? coincidence Clock EI/FI coincidence Pre-Selector Clock Track Selector L1A 2 highst Pt Track BCR Clock FE_BCID counter Encoder to MUCTPI Clock Clock Clock Clock 4.26: SL SL R φ MUCTPI Trigger Sector (End Cap) Truck Pre-Selector Truck Selector SubSector Cluster(SSC) 1 candidate/ssc # #18 SubSector # To MUCTPI #1 1 6 RI : SL p T SSW SSW Star Switch SLB ASIC ROD cell 8bit cell cell TGC 1 SSW 23 SLB ASIC SSW SLB ASIC LVDS 48

62 SSWrx SSWtx m ROD SSW PS PP ASIC SLB ASIC JTAG I 2 C SPP TTCrx 4.28 SSW ROD ROD Read Out Driver TGC ROD SSW G-Link SSW FIFO S-Link CERN ROB TTC ROD TTCrx TTC ROD 100kHz ROD 4.29 Test ROD 4.29: Test ROD 4.28: SSW ROD Test ROD SLB 49

63 HSC HSC High-pT Star-switch Controller Board HPT SSW VME HSC VME CCI CCI CCI HPT SSW HSC VME HPT SSW VME JTAG HSC CCI 2 PPE Primary Protocol Encoder JTAG JTAG SPE Secondary Protocol Encoder VME VME 4.30 HSC CCI CCI Control Configuration Interface Board HSC HSC VME VME 4.31 CCI 4.30: HSC HSC CCI PC HSC CCI SSW HPT 4.31: CCI CCI PC HSC 4.4 Online Online Online Online ATLAS 25ns 50

64 ATLAS Online Online Online 4.32 Online 4.33 DAQ GUI state segment Detector O N L I N E S O F T W A R E Datector Control System LVL1 Trigger DATA FLOW High Level Trigger ROD Crate DAQ 4.32: Online Soft 4.33: DAQ GUI Online GUI state segment DCS Online RCD ROD Crete DAQ Online RCD RCD Online state state Boot Boot configure configure Boot start start state stop stop state 51

65 RCD RCD Online ROD ATLAS DAQ RCD segment segment Class segment xml Online state RCD TGC TGC 25ns RUN RUN RUN TGC RUN 1. TTC CCI SSW PS CCI-HSC SSW SSW PS 4. TTC 5. ROD FIFO SSW 4.34 TGC ECR TTC JTAG VME SBC Single Board Computer VME 52

66 4.34: Controll Line SBC TGC SBC CCI HSC SSW JRC RCD TGC TGCRCDFEConfiguration TGCRCDFEModules TGCModules 3 RCD 4.35 ROOT Controller Database(XML) TGCJSegment TGCRCDFEConfiguration TGCModules TGCRCDFEModules VME Api (VMEHB, CCISSW ) Modules (Boards & Chips) 4.35: TGCJSegment TGCRCDFEConfiguration Local Controller process xml 53

67 RCD process TGCRCDFEModules TGCRCDFEModules RCD process state state TGCModules TGCRCDFEModules IS Information Service TGCModules Read Write IC Chip Class Module UniversalPS SSW Chip Chip API Chip Module Chip Read Write API VME 4.1 API Chip 4.1: Access module vmehb VME ROD SL TTC ccihsc CCI VME HPT SSW ccissw CCI SSW JTAG PS 54

68 5 TGC TGC TGC PT5 ProtoType module version.5 CTM Commissioning Trigger Module PT5 CTM HDL SLB ASIC 5.1 TGC TGC 3 M1 M2 M3 Triplet Doublet PS Triplet Doublet PS SLB ASIC PP ASIC IC PS 5.2 PS PS SSW ROD Doublet TGC 2 M2 M3 5.1: ASD PSB DCS SSW HPT SL HSC CCI ROD Done In Progress In progress Not yet Not yet Done Done Not yet 55

69 5.2: PS Triplet Doublet Endcap Wire EWT0,1,2 EWD0,1,2,3,4 Endcap Strip EST ESD0,1 Forward Wire FT0,1 Strip FWD0,1 Forward Strip FSD 5.1 ASD PS 4.2 PS PS Pack PS PS DCS DCS PS ASD PS PS PS Pack PS PP ASIC SLB ASIC JRC IC PC 1 GUI PS PSB-DCS bit3 SSW TTC PT4 ProtoType module version.4 SPP TTC PS SSW DCS PC CAN PS SLB ASIC PP ASIC JTAG SSW JTAG PS SSW-PSB JRC JRC IC SLB ASIC SLB TP SLB ASIC SLB ASIC SLB ASIC bit 1clk SSW SSW PT4 PT4 FIFO FIFO PC ASD TP ASD PP ASIC bit3 PC VME PC PT4 PT5 PT5 CPLD FPGA 56

70 PP ASIC overlap OR PP ASIC OR PP ASIC PP ASIC OR PP ASIC SLB ASIC bit EWD0 EWD1 PS PS PS ASD TP ASD TP SLB ASIC CTM Commissionig Trigger Module CTM FIFO CTM 5.4 DCS PS Pack JRC SLBTP SLB ASIC ASDTP PP ASIC IC SLB ASIC DCS OK PS Pack FAIL 5.1: PSB-DCS PS IC PS Pack TGC t1me t1me SLB ASIC SL HPT 57

71 Control PC Control PC TTCVi TTCVx SPP PT4 ASD DCS Board CTM PS Board SSW bit3 CAT6 LVDS G-Link TTC signal LVDS SPP signal CAN bus bit3 VME Crate 5.2: PSB-DCS VME TTC SSW bit3 PC DCS PC CAN ROOT GUI 5.3TTC PT4 GUI OK GUI DCS GUI

72 5.3: PSB GUI 5.4: DCS GUI 5.5: PSB-DCS SLB TP ASD TP SLB TP ASD TP 6.3 1/12 2 SLB ASIC PP ASIC SSW ROD SLB ASIC L1A ASD PS Delay SLB 3 out-of 4 SLB ASIC 59

73 TTC Test Pulse Trigger L1A 2 SLB ASIC Test Pulse VETO 5.6 TTCL1A NOT VETO SLB ASIC Test Pulse TTC Test Pulse Trigger SLB ASIC Test Pulse VETO SLB ASIC ReadOut VETO ASD Test Pulse 5.6: Test Pulse Trigger L1A 5.7 TTC Test Pulse Trigger SLB TP L1A ASD TP Test Pulse Trigger PP ASIC ASD ASD PS PP ASIC SLB ASIC L1A SLB TP L1A SLB TP ASD TP SLB assert TP PP issues command to ASD that assert TP ASD send TP to PP PP send TP to SLB Pipe line memory Derandomizer Test Pulse Trigger time L1A 5.7: TP Trigger L1A 60

74 5.1.2 CERN PS SLB ASIC < 1% CERN 90% SSW HPT SL SSW SSW Antifuse FPGA IC HPT SL 5.2 PT5 TGC PT5 PT5 FPGA VME ATLAS TGC PT5 FPGA FPGA ROD SSW S-Link ROS PT

75 VME A32D32 5.8: PT5 5.9: PT PT5 PT5 40.8MHz 40.08MHz NIM VME6U A32D32 FPGA 2 2 CMC Common Mzzanine Card Slot 2 DPM 16bit 16addr 5.10 PT5 VME CPLD Complex Programmable Logic Device CPLD FPGA CMC FPGA VME FPGA FPGA IC CMC VME PC [15] CERN S-Link CMC 62

76 VME BUF_A [31:18] COMPARATOR MATCH X2 BUF_A[7:1] D_DATA[7:0] CPLD CHIP SELECT SELECT WSTR RSTR IA[5:2] FPGA X2 MC_ DATA[42:0] MC BUSYR,CER, RWR,OER D_DATA[31:0] BUF_A[17:2] DPM X2 DPM_A[15:0] DPM_DATA [15:0] BUSYL,CEL, RWL,OEL MC_ DATA[42:0] MC D_DATA [31:0] BP_DATA[17:0] FPGA_ TEST CHAIN_DATA[15:0] TEST PIN 5.10: PT5 data VME CPLD CPLD FPGA FPGA 1 1 CPLD VME IC CPLD Xilinx CPLD XC2C256-PQ208PIN CPLD 2 1 VME FPGA FPGA Xilinx FPGA Spartan3-XC3S400-FG320PIN 2 IC 5.3 FPGA PT5 1 CMC Slot 1 FPGA FPGA PT G-Link 2. S-Link PC 3. G-Link 4. LVDS 1 2 ROD FPGA 16bit CLB Configurable Logic Block 63

77 5.3: PT5 FPGA CLB RAM RAM IO 400K K 288K 221 FPGA 1 1 Slot 2 PT5 G-Link 2 S-Link CERN PT4 LVDS / CAT6 PS PS IC National Semiconductor DS92LV1023/1224 LVDS input/output ASD DS90LV047A/DS90LV048A G-Link / SSW SL ROD IC Agilent Technology HDMP1032/1034 SSW IC G-Link 2 SSW2 HDMP FPGA /12 G-Link 2 SSW 5.4 1/12 M1 SSW 1 M φ

78 5.4: PSB SSW SSW Mouth No. M1 M3 ODDφ EVENφ 0 EWT1 ODDφ EWD0 EWD0 1 EWT1 EVENφ EWD1 EWD1 2 EWT2 ODDφ EWD2 EWD2 3 EWT2 EVENφ EWD3 EWD3 4 EST ODDφ EWD4 EWD4 5 EST EVENφ ESD0 ESD0 6 FT0 FWD0 7 EWT0 ODDφ FWD1 8 EWT0 EVENφ ESD1 ESD1 9 FT1 FSD 5.11: G-Link S-Link HDL ROD TGC Test ROD Test ROD 1kHz ROS CMC PT5 S-Link SSW 2 SSW M1 SSW SSW B.3 Triplet 65

79 M1 1 SSW 1/ π m2 2000Hz 2 SSW 4000Hz 1 SSW 1 1 SSW 16bit 40word 2SSW SSW 16bit 250word 2SSW SSW2 ROS SSW G-Link 2. SSW bit 32bit 6. ROS SSW PT5 Multiplexer Header & Trailer CPLD G-Link Card FPGA0 S-Link Card FPGA1 Event counter Bit transfer G-Link ROS 5.12: SSW ROS SSW2 SSW ROD S-Link 66

80 SSW G-Link FPGA Rx ROS S-Link FPGA Tx Rx FPGA G-Link2 1. G-Link FIFO FIFO = FIFO SSW FIFO 3. FIFO FIFO SSW 4. 1 FIFO FIFO 10 ROD Rx FPGA ROD Tx FPGA Tx FPGA SSW 16bit 32bit G-Link 16bit S-Link 32bit S-Link

81 SSW HEAD SSW DATA1 SSW DATA2 SSW DATAn-1 SSW DATAn SSW TRAIL Data ROD HEAD & STATUS1 ROD HEAD & STATUS12 SSW DATA1 SSW DATA2 SSW DATA3 SSW DATA4 SSW DATAn-1 SSW DATAn ROD TRAIL1 DATA COUNT(TRAIL2) ROD TRAIL3 DATA COUNT 5.13: ROD S-Link HDL 5.14 SSW ROD HDL Xilinx ISE ISE SSW ROD Rx 100MHz Tx 135MHz 40.08MHz G-Link bit 32bit 40kHz SSW 16bit 100word 2SSW S-Link 68

82 format_data sum_out 5.14: sum out SSW format data SSW sum out ROD SSW PT5 G-Link Tx SSW dummy data PT5 Multiplexer Header & Trailer G-Link Tx G-Link Rx G-Link Tx PT5 Event counter Read Data G-Link Rx 5.15: G-Link 69

83 5.4 CTM HDL TGC TGC HPT 2 HPT M1 M2 M3 CERN Blding180 HPT IP Interaction Point HPT CTM CTM HPT IC CPLD FPGA 5.16 CPLD TxFPGA RxFPGA 5.16: CTM IC CPLD VME CPLD CPLD PT5 XC2C256-PQ208PIN IC VME 70

84 RxFPGA PS FPGA CTM 10 FPGA Xilinx XC2S50E-TQ144PIN IC 5.5 CTM CAT6 LVDS 10 1 FPGA FPGA M2 M3 1/12 1/4 5.5: RxFGPGA CLB RAM RAM IO 50K K 32K 102 TxFPGA RxFPGA FPGA CTM RxFPGA TxFPGA Xilinx XC2S50E- PQ208PIN IC RxFPGA IC IO IO 146PIN TxFPGA NIM NIM HDL SLB ASIC HDL 5.17 PS LVDS RxFPGA TxFPGA HDL 71

85 5.17: HDL RxFPGA RxFPGA 3 Independent CLK FIFO FIFO FIFO LVDS FIFO Idle CAT6 CAT ,2 3,6 4,5 7,8 PS PS 4 72

86 1,2 pair 3,6 pair 4,5 pair 7,8 pair 5.18: LVDS TxFPGA TxFPGA RxFPGA RxFPGA RxFPGA RxFPGA OR HDL 5.19 Independent CLK FIFO PS xin rcvclk dump_out 5.19: FIFO rcvclk xin dbclk dump out 2 73

87 5.5 Manual Online 4.4 Manual SBC Manual TGCModules TGCRCDFEModules Online PT5 CTM bit3 SBC Online SBC Manual PT5 CTM ManualSSW ManualPS ManualTtc ManualPT5 ManualTRG CTM ManualPT5 ManualTRG SL HPT Manual 74

88 6 TGC TGC ATLAS TGC TGC 2 PS PS TGC PIT ATLAS ATLAS TGC 6.1 ATLAS TGC ATLAS TGC ToDo ATLAS LHC C-Side M2 ATLAS A-Side C-Side 75

89 Sep '06 Oct '06 Feb '07 Mar '07 Apr '07 May '07 Jun '07 Jul '07 Aug '07 Oct '07 Nov ' BT test Nov '06 Dec '06 Jan '07 Sep '07 Full Magnet test Dec '07 Side A Barrel Barrel Muon A services J N ID barrel connection and testing services installation BW-A (tooling +M1) opening ID A LAr A cool down Cold tests BW-A (MDT) Endcap Toroid A ECT on half truck JT IDA connection and testing Access from sector 13 IDC connection and testing LAr C cool down BW-A (M2) Cold tests Small Wheel A VA VT BW-A (M3) Access restrictions once toroid in place Pixel connection and testing Limited access Global Commissioning JF global tests, pump down & bake out JF VJ EO, side A Global Commissioning UX15 & LHC closing Beam 450 GeV + collisions Side A Barrel Side C Big Wheel (M1) Big Wheel (MDT) BIG WHEEL (M2) opening ID C ID C Truck Big Wheel (M3) opening Pixel Muon Barrel C JT VA Endcap Toroid Small C Wheel C Big Wheels in park position VT JF VJ JF ECT- C cooldown & test EO, side C Side C Sep '06 Oct '06 Nov '06 Dec '06 Jan '07 Feb '07 Mar '07 Apr '07 May '07 Jun '07 Jul '07 Aug '07 Sep '07 Oct '07 Nov '07 Dec '07 6.1: ATLAS ATLAS [14] TGC ToDo TGC TGC 1. TGC 2. TGC HV 3. TGC 4. ATLAS PIT TGC CERN Building /12 C-Side M1 ATLAS PIT 76

90 C-Side M1 M2 M3 A-Side M1 ATLAS PIT Sector assembly site ( Building 180 ) 6.2: Building180 ATLAS PIT CERN MAP Building180 ATLAS PIT / (a) LV/HV (b) : 6.4: 77

91 6.5: 6.6: /12 HV/LV ASD ASD 2 1 ASD PP ASIC LVDS PSB-SWW CAT6 JTAG PSB SSW 6.5 Delay TGC

92 Online Online Online M1 M2 M3 6.7 SLB TP ASD TP Test ROD TGC ASD test pulse PP delay BCID PP delay BCID Triplet SLB ASIC 2/3 Coin. Readout elmb JRC DCS SSW HSC Readout crate test ROD Control crate CCI Readout Slink Receiver Control TTCvi Service PP CAN TTC signal fanout to PS-Boards TTCrq DCS LCS L1A, reset, test pulse 6.7: SSW Test ROD M2 PS M2 PS M2 PS Universal Board DAQ 1. Delay 2. JTAG JRC CAT6 3. SLB TP SLB ASIC 4. ASD TP ASD PP ASIC LVDS DCS DCS Universal Board Triplet Doublet PS ASIC 79

93 PS SSW ROD SLB TP ASD TP Delay TTC L1A Delay Delay 1. L1A SLB ASIC 6.8 L1A 2. SLB TP 3. ASD PP ASIC Delay 4. ASD TP Delay : L1A L1A 250ns 80

94 M3_T9 wire layer1 bunch1 No. of events M3_T9 wire l1 b1 Entries 2287 Mean RMS M3_T8 wire layer1 bunch1 No. of events M3_T8 wire l1 b1 Entries 4312 Mean RMS Channel Channel M3_T9 wire layer2 bunch1 No. of events M3_T9 wire l2 b1 Entries 2612 Mean RMS M3_T8 wire layer2 bunch1 No. of events M3_T8 wire l2 b1 Entries 3147 Mean RMS Channel Channel 6.9: Delay M3_T9 wire layer1 bunch1 M3_T9 wire l1 b1 Entries Mean 16.5 RMS Channel No. of events M3_T9 wire layer2 bunch1 M3_T9 wire l2 b1 Entries Mean 16.5 RMS Channel No. of events M3_T8 wire layer1 bunch1 M3_T8 wire l1 b1 Entries Mean 16 RMS Channel No. of events M3_T8 wire layer2 bunch1 M3_T8 wire l2 b1 Entries Mean 16 RMS Channel No. of events 6.10: Delay M3 T9 T8 Delay Delay C-Side M3 φ3 Endcap φ2 Forward C-Side M η φ φ3 [16] 81

95 φ L1A 1 1 M φ0 Beam Direction φ1 φ0 φ2 φ3 T9 T8 T7 Endcap T6 T5 T2 Forward φ2 6.11: C-Side M3 DCS ASD TP DCS DCS DCS GUI BCID 82

96 M3_T7 strip layer1 bunch1 No. of events M3_T7 strip l1 b1 Entries 6064 Mean RMS M3_T6 strip layer1 bunch1 No. of events M3_T6 strip l1 b1 Entries 1533 Mean RMS Channel Channel M3_T7 strip layer2 bunch1 No. of events M3_T7 strip l2 b1 Entries 4218 Mean RMS M3_T6 strip layer2 bunch1 No. of events M3_T6 strip l2 b1 Entries 955 Mean RMS Channel Channel 6.12: T7/T6 T7 T6 T7 DCS T6 BCID Threshold High T hreshold L ow Timing is different! 6.13: 6.14: DCS GUI Delay Scan PP ASIC Delay ASD TP Delay

97 Current bunch Previous bunch Next bunch 6.15: Delay Scan ns 0 Delay BCID Delay Scan ASD PP ASIC Delay PS Online 1/12 RCD Online 2006 Online ver state ver state 6.1 initial Load configure start ver Boot configure start 6.1: 84

98 Load state Boot Load Load TTC state Load state Online Boot state configure state 6.4 IC M3 2 1 LVDS IC 1 JTAG ASD M3 T9 2 M3_T9 wire layer1 bunch1 No. of events M3_T9 wire l1 b1 Entries 6386 Mean 16.5 RMS M3_T8 wire layer1 bunch1 No. of events M3_T8 wire l1 b1 Entries 6180 Mean 16 RMS Channel Channel M3_T9 wire layer2 bunch1 No. of events M3_T9 wire l2 b1 Entries 6180 Mean RMS M3_T8 wire layer2 bunch1 No. of events M3_T8 wire l2 b1 Entries 6180 Mean 16 RMS Channel Channel 6.16: ASD M3 T9 T8 PP TP M3 T9 2 1 bit 85

99 ASD. PS PP ASIC SLB ASIC 1. ASD ASD ASD 2. PP ASIC ASD 3. PP ASIC PS LVDS IC M3 PS LVDS IC LVDS 3 4 elosc0.kek.jp 4 Dec :57: : LVDS IC IC IC LVDS 3 LVDS IC M1 86

100 CERN IC CAT6 CAT6 CAT6 CAT6 ON/OFF CAT6 CAT6 M3 15m CAT6 IC CAT6 PS CAT6 LVDS IC PS IC Online JTAG Online PS EWD1 JTAG PP ASIC JTAG Online configure JTAG Manual 5.5 JTAG EWD1 Online JTAG Manual JTAG JTAG Manual Online Online Manual JTAG TCK TDI TMS Online Manual 87

101 7 6 5 JRC NONE SLB SLB PP PP PP PP PP PP PP PP PP 6.18: EWD1 JRC EWD1 JRC SLB ASIC PP ASIC PP ASIC TGC TGC Building180 TGC ATLAS LVL CTM 6.19 M3 φ2 Forward φ3 Endcap 88

102 1/12 Sector PS Pack PS Board DCS Control PC CAT6 LVDS Optical fiber G-Link TTC signal S-Link LVDS LAN NIM signal CAN bus HPT Crate TGC Control PC SSW bit3 ROD SSW Control PC SPP CTM ROD Crate TTC Crate CTM HSC CCI TTCVx TTCVi HV SBC CO2 Gas NIM OR NIM Crate 6.19: M3 HV SLB ASIC CTM L1A SLB TP TGC CO 2 /n-pentane CO 2 DCS -70mV 70mV HV 2.9kV SLB TP SLB ASIC L1A ASD PP ASIC Delay ASD TP 89

103 - 25ns ASD TP Delay ASD PP ASIC Delay SLB ASIC CTM M3 1/12 SLB ASIC 3 out-of 4 SLB ASIC M2 3 out-of 4 M3 2 out-of 2 1 out-of 2 2 out-of 2 1 out-of 2 ASD TP 1 2 CTM 1 out-of 2 ASD PP ASIC LVDS M PP ASIC 2 2 ASD TP Delay TGC p T 1 out-of 2 HV 1 HV HV 1 out-of 2 90

104 Channel M3_T9 wire layer1 bunch No. of events M3_T9 wire l1 b1 Entries 1740 Mean 16.6 RMS M3_T8 wire layer1 bunch No. of events M3_T8 wire l1 b1 Entries 11 Mean RMS M3_T7 wire layer1 bunch No. of events M3_T7 wire l1 b1 Entries 7 Mean RMS Channel Channel M3_T9 wire layer2 bunch No. of events M3_T9 wire l2 b1 Entries 108 Mean 31.2 RMS M3_T8 wire layer2 bunch No. of events M3_T8 wire l2 b1 Entries 2140 Mean 15.6 RMS M3_T7 wire layer2 bunch No. of events M3_T7 wire l2 b1 Entries 2336 Mean RMS Channel Channel Channel 6.20: 2 HV T9/T8/T7 T9 HV HV ASD PP ASIC LVDS ASD CO 2 M3 φ2 Forward φ3 Endcap 1/ π m Hz 2 out-of 2 70Hz 2 out-of 2 70Hz out-of 2 30 η 2 91

105 No. of events M3_T9 wire layer1 bunch M3_T9 wire l1 b1 Entries Mean RMS No. of events M3_T8 wire layer1 bunch M3_T8 wire l1 b1 Entries Mean RMS Channel Channel No. of events M3_T9 wire layer2 bunch M3_T9 wire l2 b1 Entries Mean RMS 9.1 No. of events M3_T8 wire layer2 bunch M3_T8 wire l2 b1 Entries Mean RMS Channel Channel 6.21: T9/T8 No. of events M3_T7 wire layer1 bunch M3_T7 wire l1 b1 Entries Mean RMS No. of events M3_T6 wire layer1 bunch M3_T6 wire l1 b1 Entries Mean RMS Channel Channel No. of events M3_T7 wire layer2 bunch M3_T7 wire l2 b1 Entries Mean RMS No. of events M3_T6 wire layer2 bunch M3_T6 wire l2 b1 Entries Mean 49.4 RMS Channel Channel 6.22: T7/T6 92

106 No. of events M3_T5 wire layer1 bunch M3_T5 wire l1 b1 Entries Mean RMS No. of events M3_T2 wire layer1 bunch M3_T2 wire l1 b1 Entries Mean RMS Channel Channel No. of events M3_T5 wire layer2 bunch M3_T5 wire l2 b1 Entries Mean RMS 28.1 No. of events M3_T2 wire layer2 bunch M3_T2 wire l2 b1 Entries Mean RMS Channel Channel 6.23: T5/T2 M3_T9 strip layer1 bunch1 No. of events M3_T9 strip l1 b1 Entries Mean 16.8 RMS M3_T8 strip layer1 bunch1 No. of events M3_T8 strip l1 b1 Entries Mean RMS Channel Channel M3_T9 strip layer2 bunch1 No. of events M3_T9 strip l2 b1 Entries Mean 17.4 RMS 9.64 M3_T8 strip layer2 bunch1 No. of events M3_T8 strip l2 b1 Entries Mean RMS Channel Channel 6.24: T9/T8 93

107 M3_T7 strip layer1 bunch1 No. of events M3_T7 strip l1 b1 Entries 1257 Mean RMS M3_T6 strip layer1 bunch1 No. of events M3_T6 strip l1 b1 Entries 1469 Mean RMS Channel Channel M3_T7 strip layer2 bunch1 No. of events M3_T7 strip l2 b1 Entries 1250 Mean RMS M3_T6 strip layer2 bunch1 No. of events M3_T6 strip l2 b1 Entries 1541 Mean RMS Channel Channel 6.25: T7/T6 M3_T5 strip layer1 bunch1 No. of events M3_T5 strip l1 b1 Entries 1415 Mean RMS M3_T2 strip layer1 bunch1 No. of events M3_T2 strip l1 b1 Entries Mean RMS Channel Channel M3_T5 strip layer2 bunch1 No. of events M3_T5 strip l2 b1 Entries 1291 Mean RMS M3_T2 strip layer2 bunch1 No. of events M3_T2 strip l2 b1 Entries Mean RMS Channel Channel 6.26: T5/T2 2 out-of 2 T8 70mV T2 BCID 25% 2 out-of 2 ASD 1 94

108 T7/T6/T5 PP ASIC SLB ASIC HV ASD CTM T2 PS xml η T7/T6/T5 BCID T8 1 95

109 T8 strip phi eta wire 6.27: 2 2 BCID 2 out-of PS PIN 6.23 T2 3 PS PIN PIN 6.28 Forward Doublet PS FWD0 FWD1 96

110 Database Lack of bits! Blank ASD channels Chamber Blank ASD channels Dead channel! η 6.28: T2 PIN 6.6 ATLAS PIT 1/12 ATLAS PIT 6.29ATLAS PIT HO IP 6.30 C-Side M1 IP 6.31 C-SIDE M1 ATLAS PIT ATLAS PIT C-Side M2 TGC 6.29: 1/12 97

111 M 1 M 1 HAD IP Side HAD HO Side EM LAr Cal AEJ JM FCAL JNose TAS QUAD 48 ACCESS Rails 6.30: IP Side ATLAS PIT R-Z HO 1/12 IP 6.31: TGC M1 Layer 98

112 7 ATLAS TGC 1/12 TGC TGC Test ROD PT5 99

113 A PSB A.1 SLB register SLB ASIC register x = 1 write mode x = 0 read mode name Bit R/W Instruction Default notes DEPTH 21 RW x Depth of L1 Buffer: (Input[20:14] Trigger[13:7] BCID[6:0]) TESTPULSE 5 RW x Set delay of Test Pulse Trigger DELAY 4 RW x All 0 Set delay of input(obsolete, not connected) DELAY A 3 RW x All 0 Set delay of A-row input DELAY B 3 RW x All 0 Set delay of B-row input DELAY C 3 RW x All 0 Set delay of C-row input DELAY D 3 RW x All 0 Set delay of D-row input SCHEME 1 RW x 0 Low : 3 out-of 4, High : 4 out-of 4 L1VETO 1 RW x 0 Low : through, High : L1A = 0 CLKINV 1 RW x 1 Low : order, High : invert RESET 1 RW x 0 Put Internal ECR & BCR(Positive Active) DRDRST 1 RW x 0 Derandomizer Rest(Positive Active) DCVETO 1 RW x 0 Low : DC balanced, High : Normal SEU 1 RO (0) SEU Flag(indicates any SEU(s) happen) MODULE 8 RO Indicate Module Type and Module Address OVERFLOW 8 RO (All 0) Indicate Derandomizer Overflow ID 32 RO chipid: MASK1P 160 RW x All 0 Mask Pattern for Readout and Matrix MASK1 160 RW x All 0 Mask Enable for Readout and Matrix MASK2P 160 RW x All 0 Mask Pattern for Matrix only MASK2 160 RW x All 0 Mask Enable for Matrix only TPP 160 RW x All 0 Test Pulse Pattern BSR 48 RO Boundary Scan Cell A.1: SLB ASIC 100

114 A.2 PP register PP ASIC register x = 1 write mode x = 0 read mode name Bit R/W Instruction Default notes BCID MASKA 16 RW x All 1 BCID Mask register (Port-A) 0 signal masks an input signal and 1 signal un-masks an input signal. LSB corresponds to INA0. BCID MASKB 16 RW x All 1 About Port-B. Notes are same as Port-A. TPG AMPA 4 RW x All 1 Test Pulse Amplitude register (Port-A) Data=0 sets no signal output. TPG AMPB 4 RW x All 1 About Port-B. Notes are same as Port-A. TPG FINEA 5 RW x All 1 Fine-Delay register for Test Pulse (Port-A) Data[4:3] : MUST NOT be set. Sub-nano sectond step (25/31ns) TPG FINEB 5 RW x All 1 About Port-B. Notes are same as Port-A. TPG COARSEA 5 RW x All 1 Test Pulse Coarse-Delay register (Port-A) Data[4:3] defines the timing when the Test Pulse Trigger (TP Trigger) signal is taken. Data[4:3]=0x00, 0x11 : The TP Trigger signal is taken at failling or rising edge of the clock. Data[4:3]=0x01, 0x10 : MUST NOT be set. Data[2:0] sets the Coarse-Delay (25ns step) TPG COARSEB 5 RW x All 1 About Port-B. Notes same as Port-A. SIGNAL DELA 5 RW x All 1 Hit Signal Delay register (Port-A) Sub-nano sectond step (25/31ns) SIGNAL DELB 5 RW x All 1 About Port-B. Notes same as Port-A. BCID DELA 5 RW x All 1 Delay register for BCID clock (Port-A) Sub-nano sectond step (25/31ns) BCID DELB 5 RW x All 1 About Port-B. Notes same as Port-A. BCID GATEA 5 RW x All 1 Delay register for BCID gate width (Port-A) Sub-nano sectond step (25/31ns) The effective gate width can be set at approximately from 26ns to 48ns. BCID GATEB 5 RW x All 1 About Port-B. Notes same as Port-A. DEBUG DEL 5 RW x All 1 Delay register for debug signal. Sub-nano sectond step (25/31ns) SEU 1 RO (0) Monitoring SEU flag. Data=1 means SEU of the registers is observed. By re-writing the registe, the flag is reset. A.2: PP ASIC 101

115 B Data Format B.1 ROD Data Format Data word Comments Frame x B0F0xxxx event frame word (control mode word) Hdr 0 x E E1234EE start of header marker for R OD data Hdr 1 reserved reserved header size = 8 words (excluding x B0F0xxxx word) Hdr 2 A TL A S format version=2 TGC format version=2 Hdr 3 x 62 or x 63 module type = 0 Hdr 4 Level-1ID Hdr 5 reserved reserved Bunch crossing ID[11..0] Hdr 6 reserved reserved reserved Trigger type 0 octant[7..0] source id: x 62 / x 63 = A/ C endcap; module type = 0 for ROD Hdr 7 Detector event type not used yet Status Global status word 0 means event is not OK. Status reserved Star Switch timeout status one bit per SSW ; 1 means timeout Status L ocal status word presence Presence is a pattern indicating which of the following data fragments are present a Status orbit count or other means of determining time-ofday in run. 32 bits give >100 hrs Data Fragment ID raw data word count fragment ID =1, length in words Data Fragment ID readout format hit data word count fragment ID =2, length in words Data Fragment ID readout format tracklet data word fragment ID =3, length in words count ( tracklet =3/4or 2/3coincidence) Data Fragment ID chamber format hit data word count fragment ID =4, length in words Data Fragment ID chamber format tracklet data word count fragment ID =5, length in words Data FragmentID R, hit data word count fragment ID =6, length in words Data Fragment ID R, tracklet data word count fragment ID =7, length in words Data Fragment ID H ipt output word count fragment ID =8, length in words Data Fragment ID Sector L ogic word count fragment ID =9, length in words Data raw data, hit, tracklet, sector logic, etc. fragments, in the order of the word counts. Data... Data last raw data, hit or tracklet word Trail 0 number of status elements = 4 Trail 1 number of data elements Trail 2 Status block position = 0, i.e. data follows status Frame x E0F0xxxx event frame word (control mode word) a. The number off ragment ID W C words and fragments is equal to the number of Hi bits in this pattern. B.1: Test ROD 102

ATLAS 2011/3/25-26

ATLAS 2011/3/25-26 ATLAS 2011/3/25-26 2 LHC (Large Hadron Collider)/ATLAS LHC - CERN - s=7 TeV ATLAS - LHC 1 Higgs 44 m 44m 22m 7000t 22 m 3 SCT( ) SCT(SemiConductor Tracker) - - 100 fb -1 SCT 3 SCT( ) R eta=1.0 eta=1.5

More information

25 3 4

25 3 4 25 3 4 1 µ e + ν e +ν µ µ + e + +ν e + ν µ e e + TAC START STOP START veto START (2.04 ± 0.18)µs 1/2 STOP (2.09 ± 0.11)µs 1/8 G F /( c) 3 (1.21±0.09) 5 /GeV 2 (1.19±0.05) 5 /GeV 2 Weinberg θ W sin θ W

More information

LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ

LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ 8 + J/ψ ALICE B597 : : : 9 LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ 6..................................... 6. (QGP)..................... 6.................................... 6.4..............................

More information

京都 ATLAS meeting 田代 Thursday, July 11, 13 1

京都 ATLAS meeting 田代 Thursday, July 11, 13 1 京都 ATLAS meeting 3.7. 田代 削減 先週のスライドより EI/FI と NSW を用いた の削減について after EI/FI cut after NSW cut -3 - - 3 trigger 数 : 5664 EI/FI cut 38 % 349965 NSW cut 6 % 3398 4 8 6 (offline pt> GeV) after EI/FI cut after

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

W 1983 W ± Z cm 10 cm 50 MeV TAC - ADC ADC [ (µs)] = [] (2.08 ± 0.36) 10 6 s 3 χ µ + µ 8 = (1.20 ± 0.1) 10 5 (Ge

W 1983 W ± Z cm 10 cm 50 MeV TAC - ADC ADC [ (µs)] = [] (2.08 ± 0.36) 10 6 s 3 χ µ + µ 8 = (1.20 ± 0.1) 10 5 (Ge 22 2 24 W 1983 W ± Z 0 3 10 cm 10 cm 50 MeV TAC - ADC 65000 18 ADC [ (µs)] = 0.0207[] 0.0151 (2.08 ± 0.36) 10 6 s 3 χ 2 2 1 20 µ + µ 8 = (1.20 ± 0.1) 10 5 (GeV) 2 G µ ( hc) 3 1 1 7 1.1.............................

More information

Drift Chamber

Drift Chamber Quench Gas Drift Chamber 23 25 1 2 5 2.1 Drift Chamber.............................................. 5 2.2.............................................. 6 2.2.1..............................................

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

LHC ATLAS W µν Z µµ

LHC ATLAS W µν Z µµ 7TeV 35-67018 2011 / 01 / 20 LHC ATLAS W µν Z µµ 2011 1 20 2 2011 1 20 3 pp pp SppS (CERN): UA1 UA2 s = 0.63 TeV Tevatoron (Fermilab): CDF D0 s = 1.8, 1.96 TeV RHIC (BNL): PHENIX s = 0.5 TeV W µν ATLAS

More information

LHC- ATLAS 実験アップグレードに向けた新しいミューオントリガー装置読み出しの研究開発 日本物理学会 2014 年春季大会東海大学 加藤千曲, 坂本宏, 二ノ宮陽一, 徳永孝之, 浦野祐作, 鈴木翔太佐々木修 A, 石野雅也 B, 田代拓也 B, 池野正弘 A,C, 内田智久 A,C 他 A

LHC- ATLAS 実験アップグレードに向けた新しいミューオントリガー装置読み出しの研究開発 日本物理学会 2014 年春季大会東海大学 加藤千曲, 坂本宏, 二ノ宮陽一, 徳永孝之, 浦野祐作, 鈴木翔太佐々木修 A, 石野雅也 B, 田代拓也 B, 池野正弘 A,C, 内田智久 A,C 他 A LHC- ATLAS 実験アップグレードに向けた新しいミューオントリガー装置読み出しの研究開発 日本物理学会 2014 年春季大会東海大学 加藤千曲, 坂本宏, 二ノ宮陽一, 徳永孝之, 浦野祐作, 鈴木翔太佐々木修 A, 石野雅也 B, 田代拓也 B, 池野正弘 A,C, 内田智久 A,C 他 ATLAS 日本 TGC グループ東大素セ, 高エ研 A, 京都大 B, Open- ItC 1 L1

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Λ (Λ ) Λ (Ge) Hyperball γ ΛN J-PARC Λ dead time J-PARC flash ADC 1 dead time ( ) 1 µsec 3

Λ (Λ ) Λ (Ge) Hyperball γ ΛN J-PARC Λ dead time J-PARC flash ADC 1 dead time ( ) 1 µsec 3 19 Λ (Λ ) Λ (Ge) Hyperball γ ΛN J-PARC Λ dead time J-PARC flash ADC 1 dead time ( ) 1 µsec 3 1 1 1.1 γ ΛN................. 1 1.2 KEK J-PARC................................ 2 1.2.1 J-PARC....................................

More information

W Z Large Hadron Collider LHC ATLAS LHC ATLAS Higgs 1

W Z Large Hadron Collider LHC ATLAS LHC ATLAS Higgs 1 LHC Higgs B054347 1 10 W Z Large Hadron Collider LHC ATLAS LHC ATLAS Higgs 1 1 4 6.1................... 6.................... 7.3.................. 8.4.......................... 9 3 10 3.1..............................

More information

2005 4 18 3 31 1 1 8 1.1.................................. 8 1.2............................... 8 1.3.......................... 8 1.4.............................. 9 1.5.............................. 9

More information

LHC-ATLAS Hà WWà lνlν A A A A A A

LHC-ATLAS Hà WWà lνlν A A A A A A LHC-ATLAS Hà WWà lνlν A A A A A A 2011 1 Introduction 1fb -1 results and physics motivation -- ATLAS combined results with 1 fb -1 ZZà llnunu WWà lnulnu ZZà llll WWà lnuqq ATLAS official ATLAS 200-300

More information

Canvas-tr01(title).cv3

Canvas-tr01(title).cv3 Working Group DaiMaJin DaiRittaikaku Multiparticle Jiki-Bunnsekiki Samurai7 Superconducting Analyser for Multi particles from RadioIsotope Beams with 7Tm of bending power (γ,n) softgdr, GDR non resonant

More information

untitled

untitled SPring-8 RFgun JASRI/SPring-8 6..7 Contents.. 3.. 5. 6. 7. 8. . 3 cavity γ E A = er 3 πε γ vb r B = v E c r c A B A ( ) F = e E + v B A A A A B dp e( v B+ E) = = m d dt dt ( γ v) dv e ( ) dt v B E v E

More information

Microsoft PowerPoint - nakamuraJPS2005av2

Microsoft PowerPoint - nakamuraJPS2005av2 LHC 加速器 ATLAS 実験における τレプトン対に崩壊するヒッグス粒子探索に関するシミュレーション Introduction Motivation Tau identification Requirement for Rejection conclusion 中村浩二 ( 筑波大物理 ), 田中純一, 浅井祥仁, 神前純一, 陣内修, 原和彦 Introduction(1) LHC LHC @

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

BESS Introduction Detector BESS (BESS-TeVspectrometer) Experimetns Data analysis (1) (2) Results Summary

BESS Introduction Detector BESS (BESS-TeVspectrometer) Experimetns Data analysis (1) (2) Results Summary Measurements of Galactic and Atmospheric Cosmic-Ray Absolute Fluxes BESS Introduction Detector BESS (BESS-TeVspectrometer) Experimetns Data analysis (1) (2) Results Summary Introduction 90% 9% 100~10 6

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

24 10 10 1 2 1.1............................ 2 2 3 3 8 3.1............................ 8 3.2............................ 8 3.3.............................. 11 3.4........................ 12 3.5.........................

More information

cm λ λ = h/p p ( ) λ = cm E pc [ev] 2.2 quark lepton u d c s t b e 1 3e electric charge e color charge red blue green qq

cm λ λ = h/p p ( ) λ = cm E pc [ev] 2.2 quark lepton u d c s t b e 1 3e electric charge e color charge red blue green qq 2007 2007 7 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 1 2007 2 4 5 6 6 2 2.1 1: KEK Web page 1 1 1 10 16 cm λ λ = h/p p ( ) λ = 10 16 cm E pc [ev] 2.2 quark lepton 2 2.2.1 u d c s t b + 2 3 e 1 3e electric charge

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

okazaki_ICEPP

okazaki_ICEPP LHC-ATLASRun-3 6 10 5 10 4 10 ATLAS Work in progress L1_MU20 in Run1 rejected by the trigger introduced in Run2 expected distribution in Run3 Data 2012, s=8tev, 25ns bunch spacing -1 L dt = 14.0 pb rejected

More information

PRECISION DIGITAL PROCESSOR DC-101

PRECISION DIGITAL PROCESSOR DC-101 PRECISION DIGITAL PROCESSOR Accuphase warranty is valid only in Japan. 2 3 1 4 5 IN 6 10 11 7 8 9 12 3 INPUT LEVEL(dB) 2 4 5 PRECISION DIGITAL PROCESSOR STEREO MHZ SELECTIVITY METER NORMAL SIGNAL MEMORY

More information

ATLAS アップグレードに向けた ミューオン検出器読み出し回路の研究開発

ATLAS アップグレードに向けた ミューオン検出器読み出し回路の研究開発 Open It FPGA 研 究 会 汎 用 VME マザーボード PT6 の 開 発 東 京 大 学 大 学 院 理 学 系 研 究 科 物 理 学 専 攻 素 粒 子 物 理 国 際 研 究 センター 坂 本 研 究 室 神 谷 隆 之 2011 年 2 月 16 日 2011/2/16 1 1. 開 発 の 背 景 2011/2/16 2 LHC と ATLAS のアップグレード LHC 加

More information

J-PARC October 14-15, 2005 KEK

J-PARC October 14-15, 2005 KEK J-PARC October 14-15, 2005 KEK 目次 ミューオン 電子転換過程の紹介 MECO実験 PRISM/PRIME実験 @J-PARC まとめ GIM-like mixing! µ! e W e 3 SUSY-GUT Large top Yukawa couplings result in sizable off-diagonal components in a slepton

More information

LEPS

LEPS LEPS2 2016 2 17 LEPS2 SPring-8 γ 3 GeV γ 10 Mcps LEPS2 7 120 LEPS Λ(1405) LEPS2 LEPS2 Silicon Strip Detector (SSD) SSD 100 µm 512 ch 6 cm 3 x y 2 SSD 6 3072 ch APV25-s1 APVDAQ VME APV25-s1 SSD 128 ch

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

c120-e pdf

c120-e pdf C120-E035-09 UPS GP5SUP110 1500J GP5SUP111 750J GP5-R1UP7 3000RMJ-3U GP5-R1UP8 1500RMJ-2U GP5-UPC05 RS-232C GP5-UPC06 APC Smart-UPS PowerChute Business Edition PowerChute Network Shutdown APC i UPS UPS

More information

dr-timing-furukawa4.pptx[読み取り専用]

dr-timing-furukawa4.pptx[読み取り専用] < kazuro.furukawa @ kek.jp > 1 2 Remote controlled automatic pattern arbitrator" Manual pattern generator" Recent typical operation. ~37Hz for KEKB LER (3.5GeV e+) ~12.5Hz for KEKB HER (8GeV e ) ~0.5Hz

More information

positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) MeV : thermalization m psec 100

positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) MeV : thermalization m psec 100 positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) 0.5 1.5MeV : thermalization 10 100 m psec 100psec nsec E total = 2mc 2 + E e + + E e Ee+ Ee-c mc

More information

J-PARC E15 K K-pp Missing mass Invariant mass K - 3 He Formation K - pp cluster neutron Mode to decay charged particles p Λ π - Decay p Decay E15 dete

J-PARC E15 K K-pp Missing mass Invariant mass K - 3 He Formation K - pp cluster neutron Mode to decay charged particles p Λ π - Decay p Decay E15 dete J-PARC E15 (TGEM-TPC) TGEM M1 ( ) J-PARC E15 TPC TGEM TGEM J-PARC E15 K K-pp Missing mass Invariant mass K - 3 He Formation K - pp cluster neutron Mode to decay charged particles p Λ π - Decay p Decay

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

DS90LV V or 5V LVDS Driver/Receiver (jp)

DS90LV V or 5V LVDS Driver/Receiver (jp) DS90LV019 DS90LV019 3.3V or 5V LVDS Driver/Receiver Literature Number: JAJS563 DS90LV019 LVDS 1 / DS90LV019 Low Voltage Differential Signaling (LVDS) 1 CMOS / DS90LV019 EIA-644 IEEE1596.3 (SCI LVDS) 2

More information

2

2 WJ-HD150 Digital Disk Recorder WJ-HD150 2 3 q w e r t y u 4 5 6 7 8 9 10 11 12 13 14 15 16 q w SIGNAL GND AC IN 17 SUNDAY MONDAY TUESDAY WEDNESDAY THURSDAY FRIDAY SATURDAY DAILY Program 1 Event No.1 Event

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

main.dvi

main.dvi MICE Sci-Fi 2 15 3 7 1 1 5 1.1 MICE(Muon Ionization Cooling Experiment)............. 5 1.1.1........................... 5 1.1.2............................... 7 1.1.3 MICE.......................... 10

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

LM4663 2 Watt Stereo Class D Audio Pwr Amp w/Stereo Headphone Amplifier (jp)

LM4663 2 Watt Stereo Class D Audio Pwr Amp w/Stereo Headphone Amplifier (jp) 2 Watt Stereo Class D Audio Power Amplifier with Stereo Headphone Amplifier Literature Number: JAJS693 Boomer 2006 4 A very minor text edit (typo). (MC) Converted to nat2000 DTD. Few edits on Table 1 and

More information

[ ] [ ] [ ] [ ] [ ] [ ] ADC

[ ] [ ] [ ] [ ] [ ] [ ] ADC [ ] [ ] [ ] [ ] [ ] [ ] ADC BS1 m1 PMT m2 BS2 PMT1 PMT ADC PMT2 α PMT α α = n ω n n Pn TMath::Poisson(x,[0]) 0.35 0.3 0.25 0.2 0.15 λ 1.5 ω n 2 = ( α 2 ) n n! e α 2 α 2 = λ = λn n! e λ Poisson Pn 0.1

More information

TM-T88VI 詳細取扱説明書

TM-T88VI 詳細取扱説明書 M00109801 Rev. B 2 3 4 5 6 7 8 9 10 Bluetooth 11 12 Bluetooth 13 14 1 15 16 Bluetooth Bluetooth 1 17 1 2 3 4 10 9 8 7 12 5 6 11 18 1 19 1 3 4 2 5 6 7 20 1 21 22 1 23 24 1 25 SimpleAP Start SSID : EPSON_Printer

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

untitled

untitled masato@icrr.u-tokyo.ac.jp 996 Start 997 998 999 000 00 00 003 004 005 006 007 008 SK-I Accident Partial Reconstruction SK-II Full reconstruction ( SK-III ( ),46 (40%) 5,8 (9%),9 (40%) 5MeV 7MeV 4MeV(plan)

More information

アトラスバレルSCT用量産モジュールの品質保証のシステム

アトラスバレルSCT用量産モジュールの品質保証のシステム 1 2 (LHC) ( ) CERN 2006 4 14 TeV LHC 1989 2000 (LEP) LHC 40 MHz 10 34 cm 2 1 ( 3 10 33 cm 2 s 1 ) LHC 10 (ATLAS) LHC LHC 22 m 46 m 15,000 t (SCT) (TRT) SCT (Semi-Conductor Tracker) ( ) SCT SCT 4 ASIC12

More information

2

2 L C -24K 9 L C -22K 9 2 3 4 5 6 7 8 9 10 11 12 11 03 AM 04 05 0 PM 1 06 1 PM 07 00 00 08 2 PM 00 4 PM 011 011 021 041 061 081 051 071 1 2 4 6 8 5 7 00 00 00 00 00 00 00 00 30 00 09 00 15 10 3 PM 45 00

More information

2 3 12 13 6 7

2 3 12 13 6 7 2 8 17 42ZH700046ZH700052ZH7000 28 43 54 63 74 89 2 3 12 13 6 7 3 4 11 21 34 63 65 8 17 4 11 4 55 12 12 10 77 56 12 43 43 13 30 43 43 43 43 10 45 14 25 9 23 74 23 19 24 43 8 26 8 9 9 4 8 30 42 82 18 43

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

is Programmability Network I/O Processing Power Sound Quality Scalability Economy DIGITAL MIXING ENGINE DME-N Series DME24N DIGITAL MIXING ENGIN

is Programmability Network I/O Processing Power Sound Quality Scalability Economy DIGITAL MIXING ENGINE DME-N Series DME24N DIGITAL MIXING ENGIN DIGITAL MIXING ENGINE DME-N Series DME24N DME Satellite Series DME8i-C DME8o-C DME8i-ES DME8o-ES DME4io-C DME4io-ES TEL:0357917678 FAX:0354886663 ONLINE Support:http://proaudio.yamaha.co.jp is Programmability

More information

untitled

untitled + From Tradeoffs of Receive and Transmit Equalization Architectures, ICC006,Bryan Casper, Intel Labs Transmitter Receiver 0 magnitude (db) 0 0 30 40 50 60 0 4 frequency (GHz). Receiver Transmitter FFE

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

Μ粒子電子転換事象探索実験による世界最高感度での 荷電LFV探索 第3回機構シンポジューム 2009年5月11日 素粒子原子核研究所 三原 智

Μ粒子電子転換事象探索実験による世界最高感度での 荷電LFV探索  第3回機構シンポジューム 2009年5月11日 素粒子原子核研究所 三原 智 µ COMET LFV esys clfv (Charged Lepton Flavor Violation) J-PARC µ COMET ( ) ( ) ( ) ( ) B ( ) B ( ) B ( ) B ( ) B ( ) B ( ) B 2016 J- PARC µ KEK 3 3 3 3 3 3 3 3 3 3 3 clfv clfv clfv clfv clfv clfv clfv

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

ANJ_1092A

ANJ_1092A Application Note SBAA066 ± ± ± ± µ ± ± ± ± 24 Bits 20/24MSB 2 s f S 768 khz 25 MHz (1) V IH 2.0 5.0 V (1) V IL 0 0.8 V (2) V IH 3.0 0 V (2) V IL 5.0 4.2 V (1) I IH V IH = V DD ±10 µa (1) I IL V IL = 0V

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094106 Rev. G Seiko Epson Corporation 2015-2018. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 ... 71 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F N

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

ProVisionaire Control V3.0セットアップガイド

ProVisionaire Control V3.0セットアップガイド ProVisionaire Control V3 1 Manual Development Group 2018 Yamaha Corporation JA 2 3 4 5 NOTE 6 7 8 9 q w e r t r t y u y q w u e 10 3. NOTE 1. 2. 11 4. NOTE 5. Tips 12 2. 1. 13 3. 4. Tips 14 5. 1. 2. 3.

More information

TF Editor V3.5 ユーザーガイド

TF Editor V3.5 ユーザーガイド DIGITAL MIXING CONSOLE JA - 2 - TF Editor - 3 - TF Editor NOTE - 4 - TF Editor 1. 2. 3. 1. 2. 3. 4. - 5 - TF Editor NOTE NOTE - 6 - TF Editor NOTE - 7 - TF Editor 1 2 3 4 5 6 7 8 9 0 1 2-8 - TF Editor

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

LM358

LM358 LM358 2 DC LM358 5V DC 15V DC micro SMD (8 micro SMD) LM358 LM2904 LM258 LM158 20000801 19870224 33020 23900 11800 2002 3 ds007787 Converted to nat2000 DTD added avo -23 to the first page Edited for 2001

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

TF Editor V4.0 ユーザーガイド

TF Editor V4.0 ユーザーガイド DIGITAL MIXING CONSOLE JA - 2 - - 3 - NOTE - 4 - 1. 2. 3. 1. 2. 3. 4. - 5 - NOTE NOTE - 6 - NOTE - 7 - 1 2 3 4 5 6 7 8 9 0 1 2-8 - 1 NOTE 1-2 - 3 4 1 2 NOTE - 9 - - 10 - 1 2 3 4 5 6 1 2-11 - 1 2 3 4 5-12

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094100 Rev. A Seiko Epson Corporation 2015. All rights reserved. 2 3 4 5 6 Bluetooth 7 Bluetooth 8 1 9 Bluetooth 10 1 11 1 2 6 5 4 3 7 12 1 13 14 ONF 1 N O O N O N N N O F N N F N N N N N N F F O O

More information

2

2 8 23 26A800032A8000 31 37 42 51 2 3 23 37 10 11 51 4 26 7 28 7 8 7 9 8 5 6 7 9 8 17 7 7 7 37 10 13 12 23 21 21 8 53 8 8 8 8 1 2 3 17 11 51 51 18 23 29 69 30 39 22 22 22 22 21 56 8 9 12 53 12 56 43 35 27

More information

2

2 8 22 19A800022A8000 30 37 42 49 2 3 22 37 10 11 49 4 24 27 7 49 7 8 7 9 8 5 6 7 9 8 16 7 7 7 37 10 11 20 22 20 20 8 51 8 8 9 17 1 2 3 16 11 49 49 17 22 28 48 29 33 21 21 21 21 20 8 10 9 28 9 53 37 36 25

More information

microSTATION Operation guide

microSTATION Operation guide J 2 - ii iii iv 1 1 2 4 7 8 9 10 11 1 5 6 3 2 10 15 9 11 12 13 14 3 7 6 5 4 3 2 1 4 5 PROGRAM OSC 1 MS1 (Multisample) Drum Kit MS2 (Multisample) MS3 (Multisample) Insert / Master / Total Effect IFX 1 MFX

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

ATLAS実験における   レベル2ミューオントリガーの性能評価

ATLAS実験における   レベル2ミューオントリガーの性能評価 ATLAS 実験におけるレベル 2 ミューオントリガーの性能評価 所属 : 東大理 高エ研 A 神戸大自然 B CERN C 道前武 徳宿克夫 A 長野邦浩 A 小曽根健嗣 A 石川明正 B 大町千尋 B 蔵重久弥 B 河野能知 C Atlas-Japan HLTグループ 2008 年 9 月 23 日日本物理学会秋季大会 Level2 MuonTrigger System Level1 ~75[kHz]

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

スライド 1

スライド 1 ATLAS 検出器と物理入門 ( その 5) アトラス検出器のまとめ ( にかえて ) ***** M1 向けの話 ***** 21.May.2005 T. Kobayashi 1) そもそもの設計思想は? 目指す物理は? ATLAS LoI (1992) 2) 実際の choice と期待される performance ATLAS Physics TDR (1999) 3) アトラス検出器の key

More information

高速データ変換

高速データ変換 Application Report JAJA206 V+ R 5 V BIAS Q 6 Q R R 2 Q 2 Q 4 R 4 R 3 Q 3 V BIAS2 Q 5 R 6 V Ω Q V GS + R Q 4 V+ Q 2 Q 3 + V BE V R 2 Q 5 R Op Amp + Q 6 V BE R 3 Q 7 R 4 R 2 A A 2 Buffer 2 ± Ω Ω R G V+ Q.4.2

More information