Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp

Size: px
Start display at page:

Download "Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp"

Transcription

1 2. SIV Stratix IV GX Altera Corporation Stratix IV Device Handbook Volume 3

2 Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Implementation Create Transceiver Instances Is Dynamic Reconiguration Required? No Yes Create a Dynamic Reconiguration Controller using the ALTGX_Reconig MegaWizard Create Reset and Control Logic Create Data Processing Logic Integrate the Design Yes Is Simulation Required? Functional Simulation Include Stratix IV GX ALTGX MegaWizard Plug-In Manager generated wrapper ile (.v or.vhd) and ALTGX_Reconig MegaWizard generated wrapper ile (i used in the design) No Compilation Synthesize the Design Add Altera Simulation Library Files Require SignalTap or Veriication? Yes No Simulate the Design Create Pin and OCT Assignments Create Timing Constraints Veriication Add signals to SignalTap II Logic Analyzer Create Clock Grouping Constraints i Required Include SignalTap ile (.stp) in the Compilation Compile the Design Stratix IV Device Handbook Volume Altera Corporation

3 2 2 3 Stratix IV GX Stratix IV GX V OD FPGA DSP Stratix IV Volume 4 Stratix IV DC FPGA V CCHT x V CCA_L/R 2 Stratix IV Pin Connection Guidelines PCS PMA PCS FIFO PPM parts per million Stratix IV Volume 4 Stratix IV DC Stratix IV GX PCS PMA Altera Corporation Stratix IV Device Handbook Volume 3

4 2 4 2 FPGA PMA PCS 8B/10B Quartus II PCS PMA ALTGX MegaWizard Plug-In Manager ALTGX MegaWizard Plug-In Manager 1 ALTGX MegaWizard Plug-In Manager Stratix IV Volume 3 ALTGX FPGA FPGA 2 8 FPGA PMA Stratix IV GX Stratix IV Volume 2 Stratix IV Stratix IV GX - Quartus II Stratix IV Volume 2 Stratix IV GX PMA V OD DC FPGA PMA Stratix IV Device Handbook Volume Altera Corporation

5 Quartus II.mi Quartus II ALTGX_RECONFIG MegaWizard Stratix IV Volume 3 ALTGX_RECONFIG MegaWizard Plug-In Manager 1 Stratix IV GX PVT Stratix IV GX Receiver only Transmitter and Receiver PMA Stratix IV Volume 2 Stratix IV Stratix IV GX reclk reclk reclk FPGA PLL I/O PLL PLL ATX advanced technology extended PLL phase-locked loop ATX PLL Stratix IV GX PCS PMA I/O PLL Stratix IV Volume 2 Stratix IV FPGA : Altera Corporation Stratix IV Device Handbook Volume 3

6 2 6 2 FPGA Stratix IV Volume 4 Stratix IV DC FPGA 1 FPGA PMA FPGA FPGA GPLL GPLL Stratix IV Volume 2 Stratix IV Stratix IV Device Handbook Volume Altera Corporation

7 FPGA Quartus II ALTGX MegaWizard Plug-In Manager ALTGX MegaWizard Plug-In Manager ALTGX MegaWizard Plug-In Manager Stratix IV Volume 3 ALTGX ALTGX MegaWizard Plug-In Manager 2 MegaWizard Plug-In Manager General Single-width Double-width ALTGX MegaWizard Plug-In Manager : tx_digitalreset rx_analogreset rx_digitalreset PCS PMA pll_powerdown CMU PLL 8B/10B V OD V CM rx_enapatternalign pll_locked rx_reqlocked rx_syncstatus rx_patterndetect pll_locked rx_reqlocked ALTGX MegaWizard Reconig FPGA tx_coreclk rx_coreclk Altera Corporation Stratix IV Device Handbook Volume 3

8 2 8 2 FPGA Stratix IV Volume 2 Stratix IV Reconig channel interace Use alternate PLL Reconig logical channel addressing logical PLL index type o reconiguration to select Stratix IV Volume 2 Stratix IV CMU PLL Finish ALTGX ALTGX_RECONFIG MegaWizard CMU PLL ALTGX_RECONFIG MegaWizard Plug-In Manager ALTGX_RECONFIG Stratix IV Volume 2 Stratix IV ALTGX MegaWizard Plug-In Manager tx_digitalreset rx_analogreset rx_digitalreset pll_powerdown CMU PLL pll_powerdown CMU PLL pll_powerdown Stratix IV Volume 2 FPGA CDR CDR CDR rx_locktoreclk rx_locktodata CDR Stratix IV Device Handbook Volume Altera Corporation

9 PPM FPGA PPM PPM CDR FPGA PCS 8B/10B FPGA PCS FPGA FPGA Synplicity Quartus II PMA FPGA PCS Quartus II SRAM Object File.so.po Stratix IV GX I/O Quatus II Assignment Editor 1 Quartus II Quartus II Help Tutorial : Stratix IV GX I/O 1.5-V PCML LVDS tx_coreclk rx_coreclk FPGA 0ppm Quatus II Stratix IV Volume 2 Stratix IV Common Clock Driver Selection Rules reclk On-Chip Termination : OCT OCT Stratix IV Volume 2 Stratix IV TimeQuest TimeQuest Quartus II FPGA.so Altera Corporation Stratix IV Device Handbook Volume 3

10 Quartus II Quartus II Quartus II Fitter Processing Compilation Report Fitter Fitter Fitter FPGA Quartus II GXB Receiver GXB Transmitter Fitter Pin-Out File Fitter Pin-Out ile Quartus II I/O Quartus II PIN.pin.pin Stratix IV GX Fitter Resource Section GXB Transmitter channel GXB Transmitter PLL PLL GXB Receiver channel Global and other ast signals ALTGX MegaWizard Plug-In Manager Quartus II SignalTap SignalTap SignalTap Quartus II STP.stp.stp Quartus II SignalTap.so Stratix IV Device Handbook Volume Altera Corporation

11 Quartus II.stp JTAG SignalTap Quartus II Volume 3 In-System Design Debugging Stratix IV GX PCS PMA Stratix IV Volume 2 Stratix IV Transceiver Architecture Loop-Back Stratix IV GX ALTGX MegaWizard ModelSim 2 1 VHDL Verilog 220pack 220model altera_m_components altera_m sgate_pack sgate stratixiv_hssi_component stratixiv_hssi_atoms Quartus II <Quartus II >/eda/sim_lib ModelSim VHDL ModelSim lpm sgate altera_m stratixiv_hssi <Quartus II \quartus\eda\sim_lib> ( / ) 220pack 220model sgate pack lpm lpm sgate Altera Corporation Stratix IV Device Handbook Volume 3

12 ( / ) sgate altera_m_components altera_m stratixiv_hssi_component stratixiv_hssi_atoms user design iles sgate altera_m altera_m stratixiv_hssi stratixiv_hssi work ModelSim Properties General Compile to library 2 2 Stratix II GX ModelSim 2 2. ModelSim ALTGX ALTGX_RECONFIG MegaWizard Plug-In Manager.v.vhd Verilog ALTGX ALTGX_RECONFIG MegaWizard Plug-In Manager Verilog.v Stratix IV Device Handbook Volume Altera Corporation

13 : 3 PCS PMA Stratix IV GX? 0 Receiver and Transmitter FC4G 4.25 Gbps MHz 1 Receiver and Transmitter FC1G Gbps MHz 2 Transmitter Only FC4G 4.25 Gbps MHz Stratix IV Volume 4 Stratix IV DC 3? 4.25 Gpbs Gpbs? 2 CMU PLL Gbps Gbps CDR Stratix IV Volume 4 Stratix IV DC 8B/10B Altera Corporation Stratix IV Device Handbook Volume 3

14 PCB 8B/10B? 2 EOFt End-o-Frame EOFt EOFt Stratix IV GX EOFt? FPGA 8B/10B PCS 8B/10B? FPGA 2 Transmitter and Receiver 1 Transmitter Only Stratix IV GX 2 2? FPGA Gbps Gbps FPGA 10 FPGA 8B/10B PMA ALTGX ALTGX_RECONFIG Stratix IV Volume 2 Stratix IV ALTGX_RECONFIG ALTGX Stratix IV Volume 2 Stratix IV PMA ALTGX Reconig ALTGX_RECONFIG.mi Stratix IV Volume 2 Stratix IV CMU PLL 2? Stratix IV GX 2 reclk reclk? reclk 50 MHz MHz Stratix IV Device Handbook Volume Altera Corporation

15 FPGA? FPGA tx_clkout rx_clkout FPGA Stratix IV GX? Stratix IV Volume 2 Stratix IV Non-Bonded Transceiver Clocking CMU clock multipler unit PLL CDR PMA PCS Transceiver Block Channel 0 (4.25 Gbps) TX RX reclk0 ( MHz) One CMU PLL Conigured or 4.25 Gbps Data Rate Channel 1 ( Gbps) reclk1 ( MHz) Second CMU PLL Conigured or Gbps Data Rate TX RX Channel 2 (4.25 Gbps) TX Altera Corporation Stratix IV Device Handbook Volume 3

16 ALTGX MegaWizard Plug-In Manager Stratix IV Volume 3 ALTGX FC4G FC4G ALTGX MegaWizard Plug-In Manager 0 General Stratix IV GX Basic FC4G General Stratix IV Device Handbook Volume Altera Corporation

17 FC4G Instance PLL/Ports PLL/Ports 2 5 Train Receiver CDR rom PLL inclk CMU PLL CDR pll_powerdown CMU PLL pll_locked CMU PLL pll_locked High rx_reqlocked CDR CDR rx_reqlocked Low PCS rx_digitalreset CDR Stratix IV Volume 2 Stratix IV Altera Corporation Stratix IV Device Handbook Volume 3

18 Ports /Cal Blk FC4G Instance Ports/Cal Blk Stratix IV Device Handbook Volume Altera Corporation

19 FC4G Instance Rx Analog RX Analog 2 7 Stratix IV Volume 3 ALTGX Altera Corporation Stratix IV Device Handbook Volume 3

20 FC4G Instance TX Analog TX Analog 2 8 Stratix IV Volume 4 Stratix IV DC Stratix IV Device Handbook Volume Altera Corporation

21 FC4G Instance Reconig Reconig Reconig Oset Cancellation or Receiver Channels reconig_romgxb reconig_togxb 1 Stratix IV Volume 2 Stratix IV Altera Corporation Stratix IV Device Handbook Volume 3

22 FC4G Instance Lpbk Lpbk 2 10 Stratix IV Device Handbook Volume Altera Corporation

23 FC4G Instance Basic /8B10B Basic/8B10B Basic/8B10B : (1) 8B/10B Altera Corporation Stratix IV Device Handbook Volume 3

24 Word Aligner K28.5 What is the word alignment pattern? 10 K FC4G Instance Word Aligner rx_patterndetect rx_syncstatus rx_patterndetect Finish MegaWizard Plug-In Manager Stratix IV Device Handbook Volume Altera Corporation

25 FC1G 1 FC1G FC4G General 2 13 Reconig FC4G Instance Channel 1 General Altera Corporation Stratix IV Device Handbook Volume 3

26 FC4G Transmitter Only Transmitter Only Transmitter Only ALTGX MegaWizard Plug-In Manager FC4G_TXONLY Instance Channel 1 General Stratix IV Device Handbook Volume Altera Corporation

27 Reconig PMA Analog controls Transmitter Only reconig_romgxb reconig_togxb 2 reconig_romgxb/reconig_togxb Quartus II Transmitter Only Analog options FC4G_TXONLY Instance Reconig Stratix IV Volume 2 ALTGX_Reconig Altera Corporation Stratix IV Device Handbook Volume 3

28 Stratix IV Volume 2 Stratix IV 2 16 PMA Number o Channels Stratix IV Volume 2 Stratix IV ALTGX_Reconig ALTGX_Reconig reconig_romgxb[16:0] FC4G 0 reconig_romgxb[33:17] FC1G 1 reconig_romgxb[50:34] FC4G Transmitter Only 2 ALTGX_RECONFIG reconig_togxb[3:0] ALTGX_Reconig Reconiguration Settings FPGA 0 2 CMU PLL 1 2 CMU PLL Transmitter Only Receiver and Transmitter ALTGX MegaWizard Plug-In Manager pll_powerdown CMU PLL 0 2 CMU PLL ALTGX 0 2 pll_powerdown Stratix IV Device Handbook Volume Altera Corporation

29 rx_digitalreset rx_analogreset tx_digitalreset FPGA FPGA User Logic rx_reqlocked reset control logic tx_digitalreset rx_digitalreset rx_analogreset ALTGX Instance Channel0 Starting channel number = 0 data processing logic transmitter side logic receiver side logic 8B/10B encoder tx_datain rx_patterndetect reconig_romgxb[16:0] reconig_togxb[3:0] ALTGX_RECONFIG Instance data processing logic 8B/10B decoder rx_syncstatus rx_dataout reconig_romgxb[16:0] reset control or CMU PLL pll_locked pll_powerdown reconig_romgxb[50:34] reconig_romgxb[33:17] reset control logic tx_digitalreset ALTGX Instance Channel 2 reconig_romgxb[16:0] reconig_togxb[3:0} data processing logic transmitter side logic 8B/10B encoder tx_datain Starting channel number = 8 reset control logic pll_powerdown pll_locked rx_reqlocked tx_digitalreset rx_digitalreset rx_analogreset ALTGX Instance Channel 1 reconig_togxb[3:0] reconig_romgxb[16:0] data processing logic transmitter side logic 8B/10B encoder tx_datain receiver side logic rx_patterndetect Starting channel number = 4 data processing logic 8B/10B decoder rx_syncstatus rx_dataout FPGA 8B/10B FPGA Altera Corporation Stratix IV Device Handbook Volume 3

30 SignalTap SignalTap -FPGA.so 3 Quartus II v.8.1 Stratix IV GX OCT v v FC4G Transmitter Only ALTGX_Reconig v1.0 Stratix IV Device Handbook Volume Altera Corporation

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

AN635:アルテラのデバイスにおける、SATA及びSASプロトコールの実装

AN635:アルテラのデバイスにおける、SATA及びSASプロトコールの実装 この 資 料 は 英 語 版 を 翻 訳 したもので 内 容 に 相 違 が 生 じる 場 合 には 原 文 を 優 先 します こちらの 日 本 語 版 は 参 考 用 としてご 利 用 ください 設 計 の 際 には 最 新 の 英 語 版 で 内 容 をご 確 認 ください AN-635-1.0 このアプリケーション ノートでは Arria II は HardCopy IV および Stratix

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート XAPP485 (v1.1) 2006 11 10 R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E 4 5 666Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法 ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3.

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

コンフィギュレーション & テスト

コンフィギュレーション & テスト SIIGX51005-1.0 5. & IEEE Std. 1149.1 (JTAG) Stratix II GX IEEE Std. 1149.1 JTAG BST JTAG Stratix II GX Quartus II Jam (.jam) Jam Byte-Code (.jbc) JTAG Stratix II GX JTAG BST IOE I/O JTAG CONFIG_IO I/O

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

Quartus IIネットリスト・ビューワによるデザインの解析

Quartus IIネットリスト・ビューワによるデザインの解析 12. Quartus II QII51013-6.0.0 FPGA Quartus II RTL Viewer State Machine Viewer Technology Map Viewer : Quartus II Quartus II 12 46 State Machine Viewer HDL : Quartus II RTL Viewer State Machine Viewer Technology

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

デザイン・スペース・エクスプローラ

デザイン・スペース・エクスプローラ 12. QII52008-6.1.0 Quartus II Quartus II FPGA Tcl/Tk DSEDSE DSE DSE DSE DSE Quartus II Synthesis Fitter 1 DSE Quartus II Fitter Quartus II Altera Corporation 12 1 2006 11 Quartus II Volume 2 DSE DSE 1

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

Quartus IIプロジェクトのマネージング

Quartus IIプロジェクトのマネージング 4. Quartus II QII52012-7.2.0 FPGA 1 2 FPGA FPGA Quartus II Quartus II 1 1 1 1 Quartus II Quartus II Quartus II Quartus II 4 1 Altera Corporation 4 1 Quartus II Volume 2 4 1. Quartus II Quartus II Project

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

ANDIAMO Manual

ANDIAMO Manual DirectOut Technologies D.O.TEC ANDIAMO Version 1.0 Copyright Note page 2 / 28 Table of contents Table of contents About This Manual 4 How to Use This Manual 4 Conventions 4 CHAPTER 1: Overview 5 Introduction

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

untitled

untitled LVDS 1 ( LVDS) / 50% 2 ( LVDS) / 50% 3 USB2.0 480Mbps Serial ATA Gen1 1.5Gbps PCI Express Gen1 2.5Gbps 4 Host Data Device Clock 5 Data Skew Host Data Device Clock Setup Hold Data Skew 6 Host Data Device

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

PRECISION DIGITAL PROCESSOR DC-101

PRECISION DIGITAL PROCESSOR DC-101 PRECISION DIGITAL PROCESSOR Accuphase warranty is valid only in Japan. 2 3 1 4 5 IN 6 10 11 7 8 9 12 3 INPUT LEVEL(dB) 2 4 5 PRECISION DIGITAL PROCESSOR STEREO MHZ SELECTIVITY METER NORMAL SIGNAL MEMORY

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

CANON_IT_catalog_1612

CANON_IT_catalog_1612 Image processing products Hardware /Software MatroxRadient Pro CL 7 HDR-26 HDR-26 Data Clock CC [4] UART Data Clock CC [4] UART Camera Link Interface w/ PoCL Camera Link Interface w/ PoCL Image Reconstruction

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ

DS90LV011A 3V LVDS 1 回路入り高速差動出力ドライバ 3V LVDS Single High Speed Differential Driver Literature Number: JAJS962 Single High Speed Differential Driver 19961015 23685 ds200149 Input Voltage changed to 3.6V from 5V Updated DC and AC typs basic

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに...3 2. プロジェクトとは...3 3. Quartus II 開発ソフトウェアの起動...4 4. 新規プロジェクトの作成...7 5. 既存プロジェクトの起動と終了...15

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

Configuring_01

Configuring_01 Symantec Backup Exec Dell EqualLogic Microsoft Exchange Server SQL Server IT / / 24 365 Symantec Backup Exec Advanced Disk-based Backup Option (ADBO) Dell Equal- Logic Microsoft Exchange Server 2003 2007

More information

P3FY-A JP.PDF

P3FY-A JP.PDF P3FY-A002-03 SCSI GP5-148 GP5-148(AcceleRAID 352) 1 1.1 2001 11 OS ( OS ) 4GByte 2 2.1 EzAssist RAID EzAssist Configure RAID Drive Automatic ( )Assisted( ) Custom ( ) 2.2 2000 7 EzAssist Perform Administration

More information

 

  LogicStudio Getting Started Manual December, 2010 LeCroy Corporation 700 Chestnut Ridge Road Chestnut Ridge, NY, 10977-6499 Tel: (845) 578-6020, Fax: (845) 578 5985 : 1 a)b)c) 90 : 2010 by LeCroy Corporation.

More information

意外と簡単!?

意外と簡単!? !?Access Oracle Oracle Migration Workbench MS-Access Oracle Creation Date: Oct 01, 2004 Last Update: Mar 08, 2005 Version: 1.1 !? Oracle Database 10g / GUI!? / Standard Edition!? /!?!? Oracle Database

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

2

2 L C -24K 9 L C -22K 9 2 3 4 5 6 7 8 9 10 11 12 11 03 AM 04 05 0 PM 1 06 1 PM 07 00 00 08 2 PM 00 4 PM 011 011 021 041 061 081 051 071 1 2 4 6 8 5 7 00 00 00 00 00 00 00 00 30 00 09 00 15 10 3 PM 45 00

More information

2

2 8 23 26A800032A8000 31 37 42 51 2 3 23 37 10 11 51 4 26 7 28 7 8 7 9 8 5 6 7 9 8 17 7 7 7 37 10 13 12 23 21 21 8 53 8 8 8 8 1 2 3 17 11 51 51 18 23 29 69 30 39 22 22 22 22 21 56 8 9 12 53 12 56 43 35 27

More information

2

2 8 22 19A800022A8000 30 37 42 49 2 3 22 37 10 11 49 4 24 27 7 49 7 8 7 9 8 5 6 7 9 8 16 7 7 7 37 10 11 20 22 20 20 8 51 8 8 9 17 1 2 3 16 11 49 49 17 22 28 48 29 33 21 21 21 21 20 8 10 9 28 9 53 37 36 25

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2

SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2 2004.2.17 akinori_maeda@agilent.com SerialATA ATA Embedded Clocking 8B10B coding 2 pair Hot Plug ATA ATA (150MB/s ) 10 roadmap 2004/2/17 SATA Overview Page 2 SATA PC JBOD 2004/2/17 SATA Overview Page 3

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

BS・110度CSデジタルハイビジョンチューナー P-TU1000JS取扱説明書

BS・110度CSデジタルハイビジョンチューナー P-TU1000JS取扱説明書 C S0 CS Digital Hi-Vision Tuner C C C C S0-0A TQZW99 0 C C C C 4 5 6 7 8 9 C C C C C C C C C C C C C C C C C C C C C C C 0 FGIH C 0 FGIH C C C FGIH FG IH FGIH I H FGIH FGIH 0 C C # $ IH F G 0 # $ # $

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

DL1010.PDF

DL1010.PDF Delta 1010 24 Bit/96 khz PCI Digital I/O Card 2 M-AUDIO 3 Rack Unit 1. Power LED LED MIDI LED LED MIDI Delta 1010 MIDI MIDI LED LED MIDI Delta 1010 MIDI MIDI MIDI MIDI MIDI MTC Delta 1010 MIDI MIDI MIDI

More information

0 C C C C C C C

0 C C C C C C C C * This device can only be used inside Japan in areas that are covered by subscription cable TV services. ecause of differences in broadcast formats and power supply voltages, it cannot be used in overseas

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

0 C C C C C C

0 C C C C C C C TU-HD50 TUNER TU - HD50 0 TU-HD50 C C C C S00-06C D D D 0 C C C C 4 5 6 7 8 9 C C C C C C C C C C C C C C C C C C C C C C TUNER TU - HD50 FGIH 0 C C C 0 FGIH C C C C C C FGIH FG IH FGIH I H FGIH FGIH

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : : ( )

ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : : ( ) ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : 23 1 26 : ( ) ii 1. Nagata DLL 1 2. Nagata 1 3. VObj 2 3. 1............................................... 2 3. 2.................................................

More information

PowerPlay Early Power Estimator User Guide

PowerPlay Early Power Estimator User Guide PowerPlay Early Power Estimator User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01070-4.0 Document last updated for Altera Complete Design Suite version: Document publication date:

More information

GRANT OF EQUIPMENT AUTHORIZATION Certification Issued Under the Authority of the Federal Communications Commission By: lantronix 7535 Irvine Center Dr

GRANT OF EQUIPMENT AUTHORIZATION Certification Issued Under the Authority of the Federal Communications Commission By: lantronix 7535 Irvine Center Dr GRANT OF EQUIPMENT AUTHORIZATION Certification Issued Under the Authority of the Federal Communications Commission By: lantronix 7535 Irvine Center Drive Suite 100 Irvine, CA 92618 Siemic Inc. 775 Montague

More information

2

2 8 24 32C800037C800042C8000 32 40 45 54 2 3 24 40 10 11 54 4 7 54 30 26 7 9 8 5 6 7 9 8 18 7 7 7 40 10 13 12 24 22 22 8 55 8 8 8 8 1 2 3 18 11 54 54 19 24 30 69 31 40 57 23 23 22 23 22 57 8 9 30 12 12 56

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

untitled

untitled EPX-64S Rev 1.2 1.. 3 1.1.......... 3 1.2....... 3 1.3....... 4 1.4... 4 1.5... 4 2........ 5 2.1.... 5 EPX64S_GetNumberOfDevices........ 5 EPX64S_GetSerialNumber........ 6 EPX64S_Open....... 7 EPX64S_OpenBySerialNumber

More information

ECP2/ECP2M ユーザーズガイド

ECP2/ECP2M ユーザーズガイド Lattice MachXO Lattice Lattice MachXO_design_guide_rev2.2.ppt Page: 2 1. MachXO 1-1. 1-2. PLL 1-3. JTAG 1-4. 2. MachXO I/O Bank I/O 2-1. I/O BANK 2-2. I/O I/F 2-3. I/F 2-4 I/F 2-5. 2-6. LVDS I/F 2-7. I/F

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.14 2015 年 3 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. 2. 3. はじめに...3 Device and Pin Options の起動...4 Device

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16 ALTIMA Corp. Quartus Prime ガイド Design Space Explorer II の使い方 Ver.16 2017 年 1 月 Rev.1 ELSENA,Inc. Quartus Prime ガイド Design Space Explorer II の使い方 目次 1. 2. はじめに...3 DSE II 概要...4 2-1. 2-2. 2-3. DSE II の推奨使用方法...

More information

2

2 8 23 32A950S 30 38 43 52 2 3 23 40 10 33 33 11 52 4 52 7 28 26 7 8 8 18 5 6 7 9 8 17 7 7 7 38 10 12 9 23 22 22 8 53 8 8 8 8 1 2 3 17 11 52 52 19 23 29 71 29 41 55 22 22 22 22 22 55 8 18 31 9 9 54 71 44

More information

16.16%

16.16% 2017 (411824) 16.16% Abstract Multi-core processor is common technique for high computing performance. In many multi-core processor architectures, all processors share L2 and last level cache memory. Thus,

More information

Quartus II ハンドブック Volume 5、セクションIV. マルチプロセッサの調整

Quartus II ハンドブック  Volume 5、セクションIV. マルチプロセッサの調整 IV. SOPC Builder Nios II 9 Avalon Mutex 10 Avalon Mailbox 9 10 / 9 v5.1.0 2005 5 v5.0.0 Nios II 2004 12 v1.0 10 v5.1.0 2005 5 v5.0.0 Altera Corporation IV 1 Quartus II Volume 5 IV 2 Altera Corporation

More information

Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows

Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows Systemwalker IT Service Management Systemwalker Centric Manager IT Service

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information