コンポーネント・インタフェースTclリファレンス

Size: px
Start display at page:

Download "コンポーネント・インタフェースTclリファレンス"

Transcription

1 QII Tcl SOPC Builder Tcl _hw.tcl SOPC Builder _hw.tcl 1 SOPC Builder SOPC Builder 4 HDL _hw.tcl SOPC Builder C Tcl _sw.tcl 7 1 Tcl Tcl Tcl Tcl Tcl Basic component information HDL Altera Corporation 7 1

2 Quartus II Volume 4 Parameter Declarations SOPC Builder Verilog HDL VHDL Generics Interface Properties Avalon Memory-Mapped Avalon-MM waite-states Tcl SOPC Builder Main Program SOPC Builder _hw.tcl Tcl SOPC Builder Edit SOPC Builder Validaton Elaboration SOPC Builder Elaboration Generation Generation Quartus II HDL VHDL Verilog HDL RTL _hw.tcl 5 UART 7 2 Altera Corporation

3 Tcl _hw.tcl SOPC Builder 7-1. # The name and version of the component set_module_property NAME example_uart set_module_property VERSION 1.0 # The name of the component to display in the library set_module_property DISPLAY_NAME Example Component # The component s description. set_module_property DESCRIPTION An Example Component # The component library group that component belongs to set_module_property GROUP "Examples" _hw.tcl 7-2. # Declare the Baud Rate parameter as an integer with a # default value of add_parameter BAUD_RATE int 9600 # Display it as Baud Rate in the Parameter Editor. set_parameter_property BAUD_RATE DISPLAY_NAME Baud Rate (bps) # We only support three baud rates set_parameter_property BAUD_RATE ALLOWED_RANGES { } Altera Corporation 7 3

4 Quartus II Volume # Declare the clock sink interface, clock_sink, # type=clock, direction=sink # add_interface clock_sink clock sink # The clock interface has two signals, named clk and # reset_n, # of types clk and reset_n, both inputs of width 1 bit. add_interface_port clock_sink clk clk input 1 add_interface_port clock_sink reset_n reset_n input 1 # Declare the Avalon slave interface, # name= avalon_slave_0, type=avalon, directon=slave, # associated with the clock_sink clock interface. add_interface avalon_slave_0 avalon slave clock_sink # Set a number of properties about the Avalon Slave interface set_interface_property avalon_slave_0 writewaittime 0 set_interface_property avalon_slave_0 addressalignment DYNAMIC set_interface_property avalon_slave_0 readwaittime 1 set_interface_property avalon_slave_0 readlatency 0 # Declare all the signals that belong to my Avalon # Slave interface add_interface_port avalon_slave_0 readdata readdata output 32 add_interface_port avalon_slave_0 read read input 1 add_interface_port avalon_slave_0 write write input 1 add_interface_port avalon_slave_0 waitrequest waitrequest output 1 add_interface_port avalon_slave_0 address address input 7 add_interface_port avalon_slave_0 writedata writedata input Altera Corporation

5 Tcl Tcl HDL Synopsys Design Constraint.sdc HDL 7-4. # Add the HDL file to the component, to be used for # synthesis and simulation. add_file simple_uart.v {SYNTHESIS SIMULATION} # Timequest file with Quartus timing constraints. add_file simple_uart.sdc {SDC} # The top-level HDL file that describes the file, and # the name of the top-level module/entity. set_module_property TOP_LEVEL_HDL_FILE simple_uart.v set_module_property TOP_LEVEL_HDL_MODULE simple_uart _hw.tcl SOPC Builder Edit Validation Elaboration Generation SOPC Builder Altera Corporation 7 5

6 Quartus II Volume 4 Edit SOPC Builder Edit GUI 7 1 SOPC Builder GUI 7 1. TYPE DISPLAY_NAME ALLOWED_RANGES GROUP VISIBLE ENABLED GUI HDL add_parameter "1:3" GUI VISIBLE=0 GUI ENABLED=0 Validation SOPC Builder Validation ALLOWED_RANGES ALLOWED_RANGES start:end 7 6 Altera Corporation

7 Tcl 7 2 ALLOWED_RANGES 7 2. ALLOWED_RANGES ALLOWED_RANGES {a, b, c} {1, 2, 4, 8, 16} 1:3 1, 2, 3, 7:10 "a" "b" "c" Elaboration Elaboration SOPC Builder HDL SOPC Builder quartus_map quartus_ map AFFECTS_PORT_WIDTHS false quartus_map Generation Generation SOPC Builder TOP_LEVEL_MODULE SOPC Builder Verilog HDL VHDL SOPC Builder HDL TOP_LEVEL_MODULE SOPC Builder SOPC Builder Altera Corporation 7 7

8 Quartus II Volume 4 4 Edit Edit EDITOR_CALLBACK Edit SOPC Builder GUI Edit Tcl Tk GUI Edit GUI Edit GUI stdout GUI set_parameter_value SOPC Builder 7 8 Altera Corporation

9 7-5. set_module_property EDITOR_CALLBACK my_editor # Define Module parameters add_parameter PARAMETER_ONE integer "32" "A parameter" add_parameter CSR_ENABLED boolean "true" "Enable CSR interface" # My editor method proc my_editor { # get parameter values set p1 [ get_parameter_value "PARAMETER_ONE" ] set csr [ get_parameter_value "CSR_ENABLED" ] # Display UI, populated with current parameter # values. # The stdout returned by the UI program includes the # new paramter values. set result = [exec my_component_ui.exe p1=$p1 csr=$csr] # use the fictional parse_for_new_value procedure # to # parse the returned text for the new parameter # values. set p1 [parse_for_new_value $result p1 ] set csr [parse_for_new_value $result csr ] # Return the new parameter values to SOPC Builder set_parameter_value "PARAMETER_ONE" $p1 set_parameter_value "CSR_ENABLED" $csr } Validation Validation VALIDATION_CALLBACK Validation Validation Validation Validation Validation Altera Corporation 7 9

10 Quartus II Volume 4 Validation.sopc derived TRUE BAUDRATE_PRESCALE Validation BAUDRATE 1/ # Declare the validation callback. set_module_property VALIDATION_CALLBACK my_validation_callback # Add the BAUDRATE_PRESCALE parameter add_parameter BAUDRATE_PRESCALE int 600 set_parameter_property BAUDRATE_PRESCALE DERIVED true # The validation callback proc my_validation_callback { # Get the current value of parameters we care about set baudrate [get_parameter_value BAUD_RATE] set parity [get_parameter_value PARITY] # Display an error for invalid combinations. if {($baud_rate==38400) && ($parity== odd )} { send_message error Odd parity at bps is not supported. } # Set the value of our derived parameter set baudrate_prescale [expr $baud_rate / 16] set_parameter_value BAUDRATE_PRESCALE $baudrate_counter Elaboration Elaboration Elaboration ELABORATION_CALLBACK Elaboration _hw.tcl 7 10 Altera Corporation

11 Elaboration Avalon-MM USE_STATUS_INTERFACE Elaboration TRUE HDL Elaboration 7-7. # Declare the callback. set_module_property ELABORATION_CALLBACK my_elaboration_callback # Add the USE_STATUS_INTERFACE parameter add_parameter USE_STATUS_INTERFACE boolean # The elaboration callback proc my_elaboration_callback { # Get the current value of parameters we care about set use_status [get_parameter_value USE_STATUS_INTERFACE] # Optionally add an interface and HDL file. if { $use_status==1 } { # Declare the status slave interface add_interface status_slave avalon slave clock_sink # Set interface properties set_interface_property status_slave writewaittime 0 set_interface_property status_slave readwaittime 1 # Declare signals add_interface_port status_slave st_readdata readdata output 32 add_interface_port status_slave st_read read input 1 add_interface_port status_slave st_write write input 1 add_interface_port status_slave st_waitrequest waitrequest output 1 add_interface_port status_slave st_address address input 7 add_interface_port status_slave st_writedata writedata input 32 #Include the file that defines the status interface add_file my_status_interface.v {SYNTHESIS SIMULATION} } Altera Corporation 7 11

12 Quartus II Volume 4 } Generation Generation SOPC Builder HDL Generation Generation HDL GENERATION_CALLBACK Generation Generation Generation Generation Tcl HDL add_files SOPC Builder Generation 7 3 Generation 7 3. Generation HDL_LANGUAGE OUTPUT_DIRECTORY OUTPUT_NAME HDL Verilog VHDL HDL HDL comp_0 OUTPUT_NAME Generation comp_0 Generation comp_ Altera Corporation

13 Tcl Generation 7-8. set_module_property generationcallback my_generate # My generation method proc my_generate {} { send_message "info" "Starting Generation" # get generation settings set language [ get_generation_setting "HDL_LANGUAGE" ] set outdir [get_generation_setting "OUTPUT_DIRECTORY" ] set outputname [get_generation_setting "OUTPUT_NAME" ] # get parameter values set p1 [ get_parameter_value "PARAMETER_ONE" ] set csr [ get_parameter_value "CSR_ENABLED" ] # Do HDL generation with perl exec perl my_generate.pl lang=$language dir=$outdir name=$outputname p1=$p1 csr=$csr } add_file ${outputname}.v SYNTHESIS add_file ${outputname}_sim.v SIMULATION Tcl Tcl Generation Edit Validation Elaboration Generation Altera Corporation 7 13

14 Quartus II Volume 4 get_module_properties : get_module_propertyset_module_property : String[] : list_of_module_properties : get_module_properties / NAME DISPLAY_NAME VERSION AUTHOR DESCRIPTION GROUP ICON_PATH DATASHEET_URL my_sopc_component : My SOPC Component : 8.0 : Example SOPC Builder Module : Example Components 7 14 Altera Corporation

15 7 4. get_module_properties / EDITABLE MODULE_TCL_FILE MODULE_DIRECTORY TOP_LEVEL_HDL_FILE Validation Generation TOP_LEVEL_HDL_MODULE Validation Generation INSTANTIATE_IN_SYSTEM_MODULE VALIDATION_CALLBACK EDITOR_CALLBACK _hw.tcl _hw.tcl add_file HDL HDL false Validation Edit Altera Corporation 7 15

16 Quartus II Volume get_module_properties / ELABORATION_CALLBACK GENERATION_CALLBACK SIMULATION_MODEL_IN_VERILOG SIMULATION_MODEL_IN_VHDL Elaboration Generation True SOPC Builder Verilog HDL True SOPC Builder VHDL get_module_property : 1 : : get_module_property [propertyname} : Validation Edit Elaboration Generation : set my_name [get_module_property NAME] 7 16 Altera Corporation

17 set_module_property : : <void> : set_module_property [propertyname] [propertyname] : Validation 7 4 Generation 7 4 : set_module_property VERSION 8.0 add_file :.sdc Elaboration Generation Generation SIMULATION HDL SYNTHESIS HDL SDC TimeQuest QIP Quartus II IP : <void> : add_file filename [fileproperties] : Elaboration Generation Altera Corporation 7 17

18 Quartus II Volume 4 : add_file my_component.v {SIMULATION SYNTHESIS} get_files : : String[] : get_files [] : Validation Edit Elaboration Generation get_file_property : 1 /components/my_file.v my_file.v add_files : : get_file_property [filename] [propertyname] : Validation Edit Elaboration Generation : get_file_property my_file.v SYNTHESIS 7 18 Altera Corporation

19 set_file_property : 1 /components/my_file.v my_file.v add_files : <void> : set_file_property [filename] [propertyname] [propertyvalue] : Elaboration Generation : set_file_property my_file.v SYNTHESIS true send_message : Error: ToDoError: ToDo Info: Warning: Progress:Generation Debug: : <void> : send_message [messagelevel] [messagetext] : Validation Generation : send_message Error param1 must be greater than param2. Altera Corporation 7 19

20 Quartus II Volume 4 add_parameter : Verilog HDL Parameter VHDL Generics Integer Boolean Std_logic VHDL Std_logic_vector VHDL String : <void> : add_parameter [parametername] [parametertype] [defaultvalue description] : : add_parameter seed integer 17 The seed to use for data generation. get_parameters : add_parameter : String [] : get_parameters : Validation Edit Elaboration Generation 7 20 Altera Corporation

21 get_parameter_properties : parameter_properties get_parameter_property set_ parameter_property get_parameter_properties DISPLAY_NAME String ALLOWED_RANGES String GROUP String AFFECTS_PORT_ WIDTHS Boolean VISIBLE Boolean Validation ENABLED Boolean Validation 17 [11:15] quartus_map quartus_map true GUI false GUI : String [] : get_parameter_properties Altera Corporation 7 21

22 Quartus II Volume 4 : Validation Edit Elaboration Generation get_parameter_property : 1 : 7 5 : get_parameter_property [parametername] [propertyname] : Validation : get_parameter_property parameter1 GROUP set_parameter_property : 1 : <void> : set_parameter_property [parametername][propertyname] [value] : Validation : set_parameter_property parameter1 ALLOWED_RANGES {1, 2, 4, 8} 7 22 Altera Corporation

23 get_parameter_value : add_parameter : : get_parameter_value [parametername] : Validation Edit Elaboration Generation : get_parameter_value parameter1 set_parameter_value : Validation : <void> : set_parameter_value [parametername] [value] : Validation : set_parameter_value parameter1 4 Altera Corporation 7 23

24 Quartus II Volume 4 add_interface : 7 6 Type Direction 7 6. add_interrface Type Direction Type avalon avalon_tristate avalon_streaming interrupt conduit clock nios_custom_instruction Direction : <void> : add_interface interfacename interfacetype direction [associatedclock] : Elaboration : add_interface s0 avalon slave clock0 get_interfaces : add_interface : String[] : get_interfaces : Elaboration 7 24 Altera Corporation

25 get_interface_properties : Avalon Interface Specifications : String [] : get_interface_properties [interfacename] : Elaboration : get_interface_properties s0 get_interface_property : 1 : : get_interface_property [interfacename] [propertyname] : Elaboration : get_interface_property s0 readwaittime set_interface_property : 1 : <void> : set_interface_property interfacename apropertyname value Altera Corporation 7 25

26 Quartus II Volume 4 : Elaboration : set_interface_property s0 readwaittime 2 add_interface_port : Avalon Interface Specifications portdirection Input Output Bidir VHDL Buffer : <void> : add_interface_port [interfacename] [portname] [portrole direction] [Width] : Elaboration : add_interface_port s0 s0_rdata readdata output 32 get_interface_ports : : String[] : get_interface_ports [interfacename] : Elaboration 7 26 Altera Corporation

27 get_port_properties : DIRECTION Direction INPUT OUTPUT BIDIR BUFFER WIDTH Integer TERMINATION Boolean True SOPC Builder output & bidir inputs TERMINATION_ VALUE Long : String [] : get_port_properties portname : Elaboration : get_port_properties s0 get_port_property : : : get_port_property portname propertyname : Elaboration : get_port_property s0_rdata WIDTH Altera Corporation 7 27

28 Quartus II Volume 4 set_port_property : 1 : <void> : set_port_property portname propertyname value : Elaboration : set_port_property s0_rdata WIDTH 32 Generation get_generation_properties : get_generation_property get_generation_properties HDL_LANGUAGE Enum HDL vhdl verilog OUTPUT_DIRECTORY File OUTPUT_NAME String OUTPUT_NAME module_0 HDL_LANGUAGE VERILOG module_o.v module_0 : String [] : get_generation_properties 7 28 Altera Corporation

29 Generation : Generation get_generation_property : 1 : : get_generation_property propertyname : Generation get_project_property : get_project_property / QUARTUS_ROOTDIR String $QUARTUS_ROOTDIR QUARTUS_PROJECT_DIRECTORY String QUARTUS_PROJECT_NAME String Quartus II Altera Corporation 7 29

30 Quartus II Volume get_project_property / DEVICE_FAMILY_NAME Enum STRATIX STRATIXII STRATIXIIGX STRATIXIIGXLITE STRATIXGX STRATIXIII STRATIXIV CYCLONE CYCLONEII CYCLONEIII HARDCOPY HARDCOPYII HARDCOPYIII MAXII APEX20KE APEX20KC APEXII ACEX1K DEVICE_FAMILY_FEATURES Enum [ ] M512_MEMORY M4K_MEMORY M9K_MEMORY M144K_MEMORY MRAM_MEMORY MLAB_MEMORY ESB EPCS DSP EMUL HARDCOPY LVDS_IO ADDRESS_STALL TRANSCEIVER_3G_BLOCK TRANSCEIVER_6G_BLOCK DSP_SHIFTER_BLOCK : : get_project_property propertyname : Generation 7 30 Altera Corporation

31 Avalon Interface Specifications Nios II Nios II Software Build Tools Reference v8.0.0 _hw.tcl Tcl Tcl v v7.1.0 Altera Corporation 7 31

32 Quartus II Volume Altera Corporation

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

Quartus II ハンドブック Volume 5、セクションIV. マルチプロセッサの調整

Quartus II ハンドブック  Volume 5、セクションIV. マルチプロセッサの調整 IV. SOPC Builder Nios II 9 Avalon Mutex 10 Avalon Mailbox 9 10 / 9 v5.1.0 2005 5 v5.0.0 Nios II 2004 12 v1.0 10 v5.1.0 2005 5 v5.0.0 Altera Corporation IV 1 Quartus II Volume 5 IV 2 Altera Corporation

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化 ver. 9.1 2009 年 12 月 1. はじめに Nios II にオプションで実装できる浮動小数演算カスタム インストラクションは 浮動小数四則演算はサポートしているものの 整数から浮動小数にキャスト ( 型変換 ) する機能やその逆の機能は備えていません この資料では 単精度浮動小数型と整数型の変換を簡単に Nios II のカスタム インストラクションに実装する方法を紹介しています なお

More information

Ver.1 1/17/2003 2

Ver.1 1/17/2003 2 Ver.1 1/17/2003 1 Ver.1 1/17/2003 2 Ver.1 1/17/2003 3 Ver.1 1/17/2003 4 Ver.1 1/17/2003 5 Ver.1 1/17/2003 6 Ver.1 1/17/2003 MALTAB M GUI figure >> guide GUI GUI OK 7 Ver.1 1/17/2003 8 Ver.1 1/17/2003 Callback

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM R01AN0724JU0170 Rev.1.70 MCU EEPROM RX MCU 1 RX MCU EEPROM VEE VEE API MCU MCU API RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 R01AN0724JU0170 Rev.1.70 Page 1 of 33 1.... 3 1.1... 3 1.2... 3

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094100 Rev. A Seiko Epson Corporation 2015. All rights reserved. 2 3 4 5 6 Bluetooth 7 Bluetooth 8 1 9 Bluetooth 10 1 11 1 2 6 5 4 3 7 12 1 13 14 ONF 1 N O O N O N N N O F N N F N N N N N N F F O O

More information

Microsoft Word - Win-Outlook.docx

Microsoft Word - Win-Outlook.docx Microsoft Office Outlook での設定方法 (IMAP および POP 編 ) How to set up with Microsoft Office Outlook (IMAP and POP) 0. 事前に https://office365.iii.kyushu-u.ac.jp/login からサインインし 以下の手順で自分の基本アドレスをメモしておいてください Sign

More information

HLS はじめてガイド - 簡易チュートリアル

HLS はじめてガイド - 簡易チュートリアル ALTIMA Company, MACNICA, Inc. HLS はじめてガイド簡易チュートリアル Ver.17.1 2018 年 9 月 Rev.2 HLS はじめてガイド簡易チュートリアル 目次 はじめに...3 評価環境...3 インテル HLS コンパイラ...5 インテル HLS コンパイラの概要... 5 インテル HLS コンパイラ使用時に必要なソフトウェア... 6 事前準備 (

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094106 Rev. G Seiko Epson Corporation 2015-2018. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 ... 71 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F N

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094101 Rev. B Seiko Epson Corporation 2015-2016. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 Bluetooth 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

Smalltalk_

Smalltalk_ DLLCC VisualWorks C Mac OS SSK-LampControl/ VisualWorksWithJun SSK-LampControl.h include SSK SSK FileBrowser SSK-LampControl.st FIle in SSK-LampControl File in SSK File in ( Smalltalk.SSK) ( C ) Controller

More information

1

1 PalmGauss SC PGSC-5G Instruction Manual PalmGauss SC PGSC-5G Version 1.01 PalmGauss SC PGSC5G 1.... 3 2.... 3 3.... 3 3.1... 3 3.2... 3 3.3 PalmGauss... 4 3.4... 4 3.4.1 (Fig. 4)... 4 3.4.2 (Fig. 5)...

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

untitled

untitled IBM i IBM GUI 2 JAVA JAVA JAVA JAVA-COBOL JAVA JDBC CUI CUI COBOL DB2 3 1 3270 5250 HTML IBM HATS WebFacing 4 2 IBM CS Bridge XML Bridge 5 Eclipse RSE RPG 6 7 WEB/JAVA RPG WEB 8 EBCDIC EBCDIC PC ASCII

More information

ストラドプロシージャの呼び出し方

ストラドプロシージャの呼び出し方 Release10.5 Oracle DataServer Informix MS SQL NXJ SQL JDBC Java JDBC NXJ : NXJ JDBC / NXJ EXEC SQL [USING CONNECTION ] CALL [.][.] ([])

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

HARK Designer Documentation 0.5.0 HARK support team 2013 08 13 Contents 1 3 2 5 2.1.......................................... 5 2.2.............................................. 5 2.3 1: HARK Designer.................................

More information

TM-T88VI 詳細取扱説明書

TM-T88VI 詳細取扱説明書 M00109801 Rev. B 2 3 4 5 6 7 8 9 10 Bluetooth 11 12 Bluetooth 13 14 1 15 16 Bluetooth Bluetooth 1 17 1 2 3 4 10 9 8 7 12 5 6 11 18 1 19 1 3 4 2 5 6 7 20 1 21 22 1 23 24 1 25 SimpleAP Start SSID : EPSON_Printer

More information

デザイン・スペース・エクスプローラ

デザイン・スペース・エクスプローラ 12. QII52008-6.1.0 Quartus II Quartus II FPGA Tcl/Tk DSEDSE DSE DSE DSE DSE Quartus II Synthesis Fitter 1 DSE Quartus II Fitter Quartus II Altera Corporation 12 1 2006 11 Quartus II Volume 2 DSE DSE 1

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

dTVIIman.PDF

dTVIIman.PDF dtv.ii SR diffusion TENSOR Visualizer II, the Second Release Rev.0.90 (2005.08.22) dtv 3 6 ROI ROI 10 11 15 21 23 25 2 dtv dtvdiffusion TENSOR Visualizer MR VOLUME-ONE dtv VOLUME-ONE ROI 1.1 dtv.ii SR

More information

listings-ext

listings-ext (6) Python (2) ( ) ohsaki@kwansei.ac.jp 5 Python (2) 1 5.1 (statement)........................... 1 5.2 (scope)......................... 11 5.3 (subroutine).................... 14 5 Python (2) Python 5.1

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

Quartus IIプロジェクトのマネージング

Quartus IIプロジェクトのマネージング 4. Quartus II QII52012-7.2.0 FPGA 1 2 FPGA FPGA Quartus II Quartus II 1 1 1 1 Quartus II Quartus II Quartus II Quartus II 4 1 Altera Corporation 4 1 Quartus II Volume 2 4 1. Quartus II Quartus II Project

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

RTX830 取扱説明書

RTX830 取扱説明書 RTX830 JA 1 2 3 4 5 6 7 8 9 10 11 external-memory performance-test go 12 13 show config 14 15 16 17 18 19 20 save 21 22 23 24 25 26 27 save RTX830 BootROM Ver. 1.00 Copyright (c) 2017 Yamaha Corporation.

More information

JavaScript の使い方

JavaScript の使い方 JavaScript Release10.5 JavaScript NXJ JavaScript JavaScript JavaScript 2 JavaScript JavaScript JavaScript NXJ JavaScript 1: JavaScript 2: JavaScript 3: JavaScript 4: 1 1: JavaScript JavaScript NXJ Static

More information

syspro-0405.ppt

syspro-0405.ppt 3 4, 5 1 UNIX csh 2.1 bash X Window 2 grep l POSIX * more POSIX 3 UNIX. 4 first.sh #!bin/sh #first.sh #This file looks through all the files in the current #directory for the string yamada, and then prints

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

Quartus IIネットリスト・ビューワによるデザインの解析

Quartus IIネットリスト・ビューワによるデザインの解析 12. Quartus II QII51013-6.0.0 FPGA Quartus II RTL Viewer State Machine Viewer Technology Map Viewer : Quartus II Quartus II 12 46 State Machine Viewer HDL : Quartus II RTL Viewer State Machine Viewer Technology

More information

. IDE JIVE[1][] Eclipse Java ( 1) Java Platform Debugger Architecture [5] 3. Eclipse GUI JIVE 3.1 Eclipse ( ) 1 JIVE Java [3] IDE c 016 Information Pr

. IDE JIVE[1][] Eclipse Java ( 1) Java Platform Debugger Architecture [5] 3. Eclipse GUI JIVE 3.1 Eclipse ( ) 1 JIVE Java [3] IDE c 016 Information Pr Eclipse 1,a) 1,b) 1,c) ( IDE) IDE Graphical User Interface( GUI) GUI GUI IDE View Eclipse Development of Eclipse Plug-in to present an Object Diagram to Debug Environment Kubota Yoshihiko 1,a) Yamazaki

More information

,,,,., C Java,,.,,.,., ,,.,, i

,,,,., C Java,,.,,.,., ,,.,, i 24 Development of the programming s learning tool for children be derived from maze 1130353 2013 3 1 ,,,,., C Java,,.,,.,., 1 6 1 2.,,.,, i Abstract Development of the programming s learning tool for children

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part Reservdelskatalog MIKASA MT65H vibratorstamp EPOX Maskin AB Postadress Besöksadress Telefon Fax e-post Hemsida Version Box 6060 Landsvägen 1 08-754 71 60 08-754 81 00 info@epox.se www.epox.se 1,0 192 06

More information

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part Reservdelskatalog MIKASA MVB-85 rullvibrator EPOX Maskin AB Postadress Besöksadress Telefon Fax e-post Hemsida Version Box 6060 Landsvägen 1 08-754 71 60 08-754 81 00 info@epox.se www.epox.se 1,0 192 06

More information

4.1 % 7.5 %

4.1 % 7.5 % 2018 (412837) 4.1 % 7.5 % Abstract Recently, various methods for improving computial performance have been proposed. One of these various methods is Multi-core. Multi-core can execute processes in parallel

More information

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part Reservdelskatalog MIKASA MVC-50 vibratorplatta EPOX Maskin AB Postadress Besöksadress Telefon Fax e-post Hemsida Version Box 6060 Landsvägen 1 08-754 71 60 08-754 81 00 info@epox.se www.epox.se 1,0 192

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

untitled

untitled FutureNet Microsoft Corporation Microsoft Windows Windows 95 Windows 98 Windows NT4.0 Windows 2000, Windows XP, Microsoft Internet Exproler (1) (2) (3) COM. (4) (5) ii ... 1 1.1... 1 1.2... 3 1.3... 6...

More information

/ , ,908 4,196 2, ,842 38, / / 2 33 /

/ , ,908 4,196 2, ,842 38, / / 2 33 / MathWorks Automotive Conference 2014 ( ) ECU 0.1. 1 /30 1949 12 16 1,874 4 959 2 4,908 4,196 2,993 139,842 38,581 62 26 35 56 / 6 185 13 4 3 11 / 2 33 / 2014 3 31 0.1. 2 /30 ETC 0.2. 3 /30 1. 1. 2. 2.

More information

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part Reservdelskatalog MIKASA MCD-L14 asfalt- och betongsåg EPOX Maskin AB Postadress Besöksadress Telefon Fax e-post Hemsida Version Box 6060 Landsvägen 1 08-754 71 60 08-754 81 00 info@epox.se www.epox.se

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

Condition DAQ condition condition 2 3 XML key value

Condition DAQ condition condition 2 3 XML key value Condition DAQ condition 2009 6 10 2009 7 2 2009 7 3 2010 8 3 1 2 2 condition 2 3 XML key value 3 4 4 4.1............................. 5 4.2...................... 5 5 6 6 Makefile 7 7 9 7.1 Condition.h.............................

More information

untitled

untitled IBM i IBM AS/400 Power Systems 63.8% CPU 19,516 43,690 25,072 2002 POWER4 2000 SOI 2005 2004 POWER5 2007 POWER6 2008 IBM i 2004 eserver i5 2000 eserver iseries e 2006 System i5 Systems Agenda 2008 Power

More information

SystemC 2.0を用いた簡易CPUバスモデルの設計

SystemC 2.0を用いた簡易CPUバスモデルの設計 SystemC 2.0 CPU CPU CTD&SW CT-PF 2002/1/23 1 CPU BCA UTF GenericCPU IO (sc_main) 2002/1/23 2 CPU CPU CQ 1997 11 Page 207 4 Perl Verilog-HDL CPU / Verilog-HDL SystemC 2.0 (asm) ROM (test.hex) 2002/1/23

More information

2) TA Hercules CAA 5 [6], [7] CAA BOSS [8] 2. C II C. ( 1 ) C. ( 2 ). ( 3 ) 100. ( 4 ) () HTML NFS Hercules ( )

2) TA Hercules CAA 5 [6], [7] CAA BOSS [8] 2. C II C. ( 1 ) C. ( 2 ). ( 3 ) 100. ( 4 ) () HTML NFS Hercules ( ) 1,a) 2 4 WC C WC C Grading Student programs for visualizing progress in classroom Naito Hiroshi 1,a) Saito Takashi 2 Abstract: To grade student programs in Computer-Aided Assessment system, we propose

More information

評論・社会科学 84号(よこ)(P)/3.金子

評論・社会科学 84号(よこ)(P)/3.金子 1 1 1 23 2 3 3 4 3 5 CP 1 CP 3 1 1 6 2 CP OS Windows Mac Mac Windows SafariWindows Internet Explorer 3 1 1 CP 2 2. 1 1CP MacProMacOS 10.4.7. 9177 J/A 20 2 Epson GT X 900 Canon ip 4300 Fujifilm FinePix

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Bluespec SystemVerilogによるIP流通と効果的なRTLのデバッグ

Bluespec SystemVerilogによるIP流通と効果的なRTLのデバッグ Technical Overview Bluespec SystemVerilog による IP 流通と効果的な RTL のデバッグ 他人の書いた RTL を正確に理解し 把握することは簡単なことではありません 他人の書いた RTL に変更を加えてエラーなくインプリメントすることはさらに困難です これを成功させるためには 設計者は RTL コード全体の設計スタイルに慣れ コードの詳細を把握し アーキテクチャとマイクロアーキテクチャを完全に完全に理解する必要があります

More information

Cleaner XL 1.5 クイックインストールガイド

Cleaner XL 1.5 クイックインストールガイド Autodesk Cleaner XL 1.5 Contents Cleaner XL 1.5 2 1. Cleaner XL 3 2. Cleaner XL 9 3. Cleaner XL 12 4. Cleaner XL 16 5. 32 2 1. Cleaner XL 1. Cleaner XL Cleaner XL Administrators Cleaner XL Windows Media

More information

Microsoft Word - Meta70_Preferences.doc

Microsoft Word - Meta70_Preferences.doc Image Windows Preferences Edit, Preferences MetaMorph, MetaVue Image Windows Preferences Edit, Preferences Image Windows Preferences 1. Windows Image Placement: Acquire Overlay at Top Left Corner: 1 Acquire

More information

Ⅰ Report#1 Report#1 printf() /* Program : hello.c Student-ID : 095740C Author UpDate Comment */ #include int main(){ : Yuhi,TOMARI : 2009/04/28(Thu) : Used Easy Function printf() 10 printf("hello,

More information

untitled

untitled EPX-64S Rev 1.2 1.. 3 1.1.......... 3 1.2....... 3 1.3....... 4 1.4... 4 1.5... 4 2........ 5 2.1.... 5 EPX64S_GetNumberOfDevices........ 5 EPX64S_GetSerialNumber........ 6 EPX64S_Open....... 7 EPX64S_OpenBySerialNumber

More information

PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC

PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC PBASIC 2.5 PBASIC 2.5 BASIC Stamp Editor / Development System Version 2.0 Beta Release 2 2.0 PBASIC BASIC StampR PBASIC PBASIC PBASIC 2.5 Parallax, Inc. PBASIC 2.5 PBASIC 2.5 support@microbot-ed.com 1

More information

Technische Beschreibung P82R SMD

Technische Beschreibung P82R SMD P26 halstrup-walcher GmbH http://www.krone.co.jp/ Stegener Straße 10 D-79199 Kirchzarten, Germany 124-0023 2-22-1 TEL:03-3695-5431 FAX:03-3695-5698 E-MAIL:sales-tokyo@krone.co.jp 530-0054 2-2-9F TEL:06-6361-4831

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

5 11 3 1....1 2. 5...4 (1)...5...6...7...17...22 (2)...70...71...72...77...82 (3)...85...86...87...92...97 (4)...101...102...103...112...117 (5)...121...122...123...125...128 1. 10 Web Web WG 5 4 5 ²

More information

r07.dvi

r07.dvi 19 7 ( ) 2019.4.20 1 1.1 (data structure ( (dynamic data structure 1 malloc C free C (garbage collection GC C GC(conservative GC 2 1.2 data next p 3 5 7 9 p 3 5 7 9 p 3 5 7 9 1 1: (single linked list 1

More information

MOTIF XF 取扱説明書

MOTIF XF 取扱説明書 MUSIC PRODUCTION SYNTHESIZER JA 2 (7)-1 1/3 3 (7)-1 2/3 4 (7)-1 3/3 5 http://www.adobe.com/jp/products/reader/ 6 NOTE http://japan.steinberg.net/ http://japan.steinberg.net/ 7 8 9 A-1 B-1 C0 D0 E0 F0 G0

More information

ohp07.dvi

ohp07.dvi 19 7 ( ) 2019.4.20 1 (data structure) ( ) (dynamic data structure) 1 malloc C free 1 (static data structure) 2 (2) C (garbage collection GC) C GC(conservative GC) 2 2 conservative GC 3 data next p 3 5

More information

untitled

untitled WANJet 1: one-arm F5 Networks Japan K.K. 1 WANJet WANJet https://:10000 F5 Networks Japan K.K. 2 2: WANJet F5 Networks Japan K.K. 3 vs. F5 Networks Japan K.K. 4 3: WANJet F5 Networks

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environment for microcontrollers (MCUs) from Renesas Technology

More information

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part

How to read the marks and remarks used in this parts book. Section 1 : Explanation of Code Use In MRK Column OO : Interchangeable between the new part Reservdelskatalog MIKASA MVC-88 vibratorplatta EPOX Maskin AB Postadress Besöksadress Telefon Fax e-post Hemsida Version Box 6060 Landsvägen 1 08-754 71 60 08-754 81 00 info@epox.se www.epox.se 1,0 192

More information

{:from => Java, :to => Ruby } Java Ruby KAKUTANI Shintaro; Eiwa System Management, Inc.; a strong Ruby proponent http://kakutani.com http://www.amazon.co.jp/o/asin/4873113202/kakutani-22 http://www.amazon.co.jp/o/asin/477413256x/kakutani-22

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

fiš„v3.dvi

fiš„v3.dvi (2001) 49 2 261 275 Web 1 1 2001 2 21 2001 4 26 Windows OS Web Windows OS, DELPHI, 1. Windows OS. DELPHI Web DELPHI ALGOL PASCAL VISUAL BASIC C++ JAVA DELPHI Windows OS Linux OS KyLix Mac OS (ver 10) JAVA

More information

Java (9) 1 Lesson Java System.out.println() 1 Java API 1 Java Java 1

Java (9) 1 Lesson Java System.out.println() 1 Java API 1 Java Java 1 Java (9) 1 Lesson 7 2008-05-20 Java System.out.println() 1 Java API 1 Java Java 1 GUI 2 Java 3 1.1 5 3 1.0 10.0, 1.0, 0.5 5.0, 3.0, 0.3 4.0, 1.0, 0.6 1 2 4 3, ( 2 3 2 1.2 Java (stream) 4 1 a 5 (End of

More information

/ SCHEDULE /06/07(Tue) / Basic of Programming /06/09(Thu) / Fundamental structures /06/14(Tue) / Memory Management /06/1

/ SCHEDULE /06/07(Tue) / Basic of Programming /06/09(Thu) / Fundamental structures /06/14(Tue) / Memory Management /06/1 I117 II I117 PROGRAMMING PRACTICE II 2 MEMORY MANAGEMENT 2 Research Center for Advanced Computing Infrastructure (RCACI) / Yasuhiro Ohara yasu@jaist.ac.jp / SCHEDULE 1. 2011/06/07(Tue) / Basic of Programming

More information

SCV in User Forum Japan 2003

SCV in User Forum Japan 2003 Open SystemC Initiative (OSCI) SystemC - The SystemC Verification Standard (SCV) - Stuart Swan & Cadence Design Systems, Inc. Q0 Q1 Q2 Q3 Q4 Q5 2 SystemC Q0 Q1 Q2 Q3 Q4 Q5 3 Verification Working Group

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

"CAS を利用した Single Sign On 環境の構築"

CAS を利用した Single Sign On 環境の構築 CAS Single Sign On (Hisashi NAITO) naito@math.nagoya-u.ac.jp Graduate School of Mathematics, Nagoya University naito@math.nagoya-u.ac.jp, Oct. 19, 2005 Tohoku Univ. p. 1/40 Plan of Talk CAS CAS 2 CAS Single

More information

Oracle Warehouse Builder 10g Release 2 リポジトリ拡張Cookbook

Oracle Warehouse Builder 10g Release 2 リポジトリ拡張Cookbook Oracle Warehouse Builder 10g Release 2 Cookbook 2006 5 注 : Oracle Warehouse Builder 10g Release 2 リポジトリ拡張 Cookbook 2 Oracle Warehouse Builder 10g Release 2 Cookbook Oracle Warehouse Builder 10g Release

More information

DocuWide 2051/2051MF 補足説明書

DocuWide 2051/2051MF 補足説明書 ëêèõ . 2 3 4 5 6 7 8 9 0 2 3 4 [PLOTTER CONFIGURATION] [DocuWide 2050/205 Version 2.2.0] [SERIAL] BAUD_RATE =9600 DATA_BIT =7 STOP_BIT = PARITY =EVEN HANDSHAKE =XON/XOFF EOP_TIMEOUT_VALUE =0 OUTPUT RESPONSE

More information

CX-Checker CX-Checker (1)XPath (2)DOM (3) 3 XPath CX-Checker. MISRA-C 62%(79/127) SQMlint 76%(13/17) XPath CX-Checker 3. CX-Checker 4., MISRA-C CX- Ch

CX-Checker CX-Checker (1)XPath (2)DOM (3) 3 XPath CX-Checker. MISRA-C 62%(79/127) SQMlint 76%(13/17) XPath CX-Checker 3. CX-Checker 4., MISRA-C CX- Ch CX-Checker: C 1 1 2 3 4 5 1 CX-Checker CX-Checker XPath DOM 3 CX-Checker MISRA-C CX-Checker: A Customizable Coding Checker for C TOSHINORI OSUKA, 1 TAKASHI KOBAYASHI, 1 JUNICHI MASE, 2 NORITOSHI ATSUMI,

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

橡sit nakai-ppt

橡sit nakai-ppt GML(Geography Markup Language) nakai@mv.thd.pb.nttdata.co.jp NTT 1 1997 1997 1998 1999 1999 OGC XML Web Mapping SIG GIS Web Galdos Inc. ( ) 1998 2 XML 1.0 XML 1998 12 NTT MDML by NTT Data OGC Simple Features

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information