Untitled

Size: px
Start display at page:

Download "Untitled"

Transcription

1 Technical Document (NES Emulator) Last Update: /26 Contact: Author: Ryoma Kawaguchi (B1, Keio University) Jun Murai Lab., ARCH(Internet Architecture Research Delta North. David A.Patterson, John L.Hennessy 4 (Computer Organization and Design: The Hardware/Software Interface) (NES)

2 "emulate" 拟 (mo2 ni3 qi4) PC ROM ROMROM ( )

3 CPU PPU(Picture Processing Unit) UI SDL PC / ROM / ROM CPU PPU VM C++ AVR ROM ROM AVR-gcc C ROM

4 ROM : ines 1.0 Format ines (*.nes) ROM /NES ROM ines nesdev ines Header (16) Trainer patch + + (0 or 512 byte) PRG-ROM + -> CPU + (0x4000*x bytes) CHR-ROM + -> PPU + (0x2000*y bytes) PlayChoice ROM + + (INST-ROM/PROM) + ~~~~~~~~~~~~~~~~~~~~~ PlayChoice Trainer PlayChoiceTrainer 512byte seek File Signature + + ("NES" + 0x1a) PRG-ROM Page + CHR-ROM Page + Flag-0 + Flag (PRG/0x4000) + (CHR/0x2000) + (PPU Flags) + (Mapper No.) SRAM Size + Flag-2 + Flag-3 + Reserved (NTSC/PAL) + (Mirroring) + (zero-field) Reserved + + (zero-field) Flag-0 bit0: bit[0]=1 bit[0]=0 bit1: bit[1]=1 SRAM (0x6000 ~ 0x7fff( RAM ) ) bit2: bit[2]=1 Trainer patch (0x7000 ~ 0x71ff ( RAM ) ) bit3: 4 VRAM bit4-7: Flag-1 bit0-1: (Vs unisystem, playchoice ) bit2-3: ines 00 0b10=2 NES2.0 bit4-7: Flag-2

5 bit0: bit[0]=1 PAL bit[0]=0 NTSC bit1-7: Flag-3 ( ) ines bit0-1: bit[0,1]=1 PAL bit[1,1]=3 NTSC/PAL bit[0,0]=0 NTSC (KEL ) NES(Nintendo Entertainment System) NES nesdev CPU R/W R: PRG-ROM( RAM) (H=R,L=W) IRQ: IRQ PPU RD/WE: CHR-ROM RAM /WE=L,/RD=H: W /WE=H,/RD=L: R /WR=H,/RD=H: Disable ROMSEL: PRG-ROM CIRAM/CE (VRAM CS): VRAM Chip-Select PPU A13: CHR-ROM (PPU A13 2 ) (Front:F, Back:B) URL: F25+F13=A0 (PPU A0+CPU A0)

6 F24+F12=A1 (PPU A1+CPU A1) F23+F11=A2 (PPU A2+CPU A2) F22+F10=A3 (PPU A3+CPU A3) B20+F06=P50+P06=A7 (PPU A7+CPUA7) F19+F07=A6 (PPU A6+CPU A6) F20+F08=A5 (PPU A5+CPU A5) F21+F09=A4 (PPU A4+CPU A4) B21+F05=P51+P05 (PPU A8+CPU A8) B22+F04=P52+P04 (PPU A9+CPU A9) B23+F03=P53+P30 (PPU A10+CPU A10) B24+F02=P54+P02 (PPU A11+CPU A11) B05 = P35 (CPU A14) B04 = P34 (CPU A13) B25+B03=P55+P33 (PPU A12+CPU A12) F27+B12=P27+P42 (PPU D1+CPU D1) F29+B10=P29+P40 (PPU D3+CPU D3) B29+B08=P59+P38 (PPU D5+CPU D5) B27+B06=P57+P36 (PPU D7+CPU D7) B28+B07=P58+P37 (PPU D6+CPU D6) B30+B09=P60+P39 (PPU D4+CPU D4) F28+B11=P28+P41 (PPU D2+CPU D2) F26+B13=P26+P43 (PPU D0+CPU D0) B02=P32 (M2(CPU clock output) = CLK) B17=P47 (PPU/WR = CWE(CHR-ROM WRITE )) B26=P56 (PPU A13 = CHR(CHR-ROM CE(Chip-Enable) )) F17 (PPU/RD = COE(CHR-ROM OE(Output-Enable) )) B14=P44 (/ROMSEL(/A15+M2) = PRG(PRG-ROM CE(Chip-Enable) )) F14 (CPU R/W = PWR(PRG-ROM WRITE )) B19 (PPU /A13 = CIN) F01, F16 (GND) F30, B01(P31) (Vcc (+5V)) ROM 74HC393AP (4bit x2) x2 AVR (ATmega328P-PU) x1 MAX232C(ADM209 (TTL/CMOS IC)) x1 LED x2 (510Ω) x2 RS232C (Dsub 9-pin ) x1 USB<->RS232C(Dsub 9-pin ) x1

7 (KEL ) x1 74HC393AP: ATmega328P-PU: Mapper-0(NROM) Enable bit (=32KB ) ROM 88bit(=1byte) PRG-ROM CHR-ROM Enable CHR-ROM CHR-ROM Enable ROM 1 ROM AVR AVR I/O 74HC393AP. 74HC393AP 4bit1 IC 1 MSB 8bit 2 IC16bit AVR I/O CLR( ) /CLK 2

8 (Bsch3v ) AVR 8, Enable 4<->AVR AVR(ATmega328P-PU) AVR AVR (PC) [Rk@20:55:40]~% cd /dev && ls -la tty.* crw-rw-rw- 1 root wheel 18, 4 Oct 30 02:47 tty.bluetooth-modem crw-rw-rw- 1 root wheel 18, 6 Oct 30 02:47 tty.bluetooth-pda-sync crw-rw-rw- 1 root wheel 18, 0 Oct 30 02:47 tty.bluetooth-serial-1 crw-rw-rw- 1 root wheel 18, 2 Oct 30 02:47 tty.bluetooth-serial-2 crw-rw-rw- 1 root wheel 18, 8 Oct 30 20:55 tty.usbserial-fthfzezc [Rk@20:55:50]~% RS232C<->USB USBtty screen AVR ROM (PRG-ROM/CHR-ROM) ROM, PRG-ROM: CPU R/W(1,OE) + /ROMSEL(1,CS) + M2(0) + PPU /RD(1) CHR-ROM: CPU R/W(0,OE) + /ROMSEL(0,CS) + M2(1) + PPU /RD(1) PC Ruby ruby-serialport CPU (6502,RP2A03)

9 (CPU (1~10 ) :CPU, : (0x37=55), :6502 ) MOS Technology 6502 RICOH 10 (2A03 ) NES CPUROM 16bitCPU 64Kbyte, ROM32Kbyte Memory-Mapped I/O 0 0x0000 ~ 0x07ff : RAM (2KB) (: 0x0000 ~ 0x00ff, : 0x0100 ~ 0x01ff) 0x0800 ~ 0x1fff : RAM 0x2000 ~ 0x2007 : PPU I/O (PPU) 0x2008 ~ 0x3fff : 0x2000 ~ 0x2007 0x4000 ~ 0x401f : APU, I/O (DMA/ ) 0x4020 ~ 0x5fff : RAM

10 0x6000 ~ 0x7fff : RAM 0x8000 ~ 0xbfff : PRG-ROM Low 0xc000 ~ 0xffff : PRG-ROM High 8bit :A,X,Y,S(Processor Status Register), P(Stack pointer) 16bit :PC (Program counter) P [N] N P[X] PX N V R B D I Z C N Negative flag.. A[7] == 1 V overflow flag.. R Reserved flag.. B Break flag.. BRK () IRQ D Deciaml flag.. P[D]=1 BCD RP3A02 I Interrupt flag.. P[I]=1 IRQ, P[I]=0 IRQ Z Zero flag.. P[Z]=1 C Carry flag.. P[C]=1 NMI(vector:0xfffa ~ 0xfffb): P[I] PPU VBlank - P[I]=1P[B]=0 RESET(vector:0xfffc ~ 0xfffd): - P[I]=1 IRQ/BRK(vector:0xfffe~0xffff): /BRK - P[I]=1 - IRQ BRK ISR P[B]=1 BRK IRQ.bank 1.org $fffa.dw NMIProc.dw RESETProc.dw IRQProc ROM (Bank1) CPU P(Processor Status Register) = 0x34 S(Stack pointer) = 0xfd PC(Program counter) = (read(0xfffc) read(0xfffd << 8)) RESET A = X = Y = 0 0x0008 = 0xf7,0x0009 = 0xef,0x000a = 0xdf,0x000f = 0xbf 0x4015( ) = 0x00 0x4017( IRQ ) = 0x00 0x4007~0x400f = 0x00 IRQ/NMI A,X,Y S(Stack pointer) 3 () PC(Program counter) = (read(0xfffc) (read(0xfffd) << 8)) RESET 0x4015 = 0x00 Interrupt

11 u8.. unsigned 8-bit, u16.. unsigned 16-bit s8.. signed 8-bit, Implied (Op)..Op Accumlator (Op).. Immediate (Op #u8)..u8 Zero-page (Op u8).. vm.load(u8) Zero-page index-x (Op u8x).. vm.load((u8 + X) % 0x100) Zero-page index-y (Op u8,y)..vm.load((u8 + Y) % 0x100) Relative (Op s8).. Absolute (Op u16).. Absolute index-x (Op u16,x).. Absolute index-y (Op u16,y).. Indirect (Op u16).. Indirect X (Op u8,x).. Indirect Y (Op u8,y).. JMP Indirect 0xc100: 0x4c 0xc1ff: 0x00 0xc200: 0x23.. 0xd02c: 0x6c 0xff 0xc1 ; jmp (0xc1ff -> 0x2300 ( )) 0xd02c: 0x6c 0xff 0xc1 ; jmp (0xc1ff -> 0x4c00 ( 256byte )) byte 256byte 16bit 64KB CPU Indirect jmp 16bit 8bit 2, 2byte (little-endian ) (Op l h) l=0xff 256byte uint16_t addrindirect() { uint16_t src = vm.read(pc++); // 0xff src = src (vm.read(pc++) << 8); // 0xc1ff // read(0xc1ff) (read(0xc100 0x0000) << 8) = 0x00 (0x4c << 8) = 0x4c00 return vm.read(src) (vm.read((src & 0xff00) ((src + 1) & 0x00ff)) << 8); PHP inline void CPU::opPHP() { this->stack.push(this->psr & pow(2,4)); P (Processor Status Register) push PLA pop A PHP PLABreakpop PNVRBDIZCR 1B 1 Indirect JMP 0x6c(Indirect)

12 none imm zero abs zerox zeroy absx absy indx indy LDA 0xa9 0xa5 0xad 0xb5 0xbd 0xb9 0xa1 0xb1 LDX 0xa2 0xa6 0xae 0xb6 0xbe LDY 0xa0 0xa4 0xac 0xb4 0xbc STA 0x85 0x8d 0x95 0x9d 0x99 0x81 0x91 STX 0x86 0x8e 0x96 STY 0x84 0x8c 0x94 TXA 0x8a TYA 0x98 TXS 0x9a TAY 0xa8 TAX 0xaa TSX 0xba PHP 0x08 PLP 0x28 PHA 0x48 PLA 0x68 ADC 0x69 0x65 0x6d 0x75 0x7d 0x79 0x61 0x71 SBC 0xe9 0xe5 0xed 0xf5 0xfd 0xf9 0xe1 0xf1 CPX 0xe0 0xe4 0xec CPY 0xc0 0xc4 0xcc CMP 0xc9 0xc5 0xcd 0xd5 0xdd 0xd9 0xc1 0xd1 AND 0x29 0x25 0x2d 0x35 0x3d 0x39 0x21 0x31 EOR 0x49 0x45 0x4d 0x55 0x5d 0x59 0x41 0x51 ORA 0x09 0x05 0x0d 0x15 0x1d 0x19 0x01 0x11 BIT 0x24 0x2c ASL 0x0a 0x06 0x0e 0x16 0x1e LSR 0x4a 0x46 0x4e 0x56 0x5e ROL 0x2a 0x26 0x2e 0x36 0x3e ROR 0x6a 0x66 0x6e 0x76 0x7e INX 0xe8 INY 0xc8 INC 0xe6 0xee 0xf6 0xfe DEX 0xca DEY 0x88 DEC 0xc6 0xce 0xd6 0xde CLC 0x18 CLI 0x58 CLV 0xb8 CLD 0xd8 SEC 0x38 SEI 0x78 SED 0xf8 NOP 0xea BRK 0x00 JSR 0x20 JMP 0x4c RTI 0x40 RTS 0x60 ========================================================================= rel BCC 0x90 BCS 0xb0 BEQ 0xf0 BMI 0x30 BNE 0xd0 BPL 0x10 BVC 0x50 BVS 0x70 / : Absolute index-x, Absolute index-y, Indirect-Y +1 : BCC BCS BEQ BNE BVC BVS BPL BMI (Branch ) +1 +2

13 7, 6, 2, 8, 3, 3, 5, 5, 3, 2, 2, 2, 4, 4, 6, 6, 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 6, 7, 6, 6, 2, 8, 3, 3, 5, 5, 4, 2, 2, 2, 4, 4, 6, 6, 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 6, 7, 6, 6, 2, 8, 3, 3, 5, 5, 3, 2, 2, 2, 3, 4, 6, 6, 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 6, 7, 6, 6, 2, 8, 3, 3, 5, 5, 4, 2, 2, 2, 5, 4, 6, 6, 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 6, 7, 2, 6, 2, 6, 3, 3, 3, 3, 2, 2, 2, 2, 4, 4, 4, 4, 2, 5, 2, 6, 4, 4, 4, 4, 2, 4, 2, 5, 5, 4, 5, 5, 2, 6, 2, 6, 3, 3, 3, 3, 2, 2, 2, 2, 4, 4, 4, 4, 2, 5, 2, 5, 4, 4, 4, 4, 2, 4, 2, 4, 4, 4, 4, 4, 2, 6, 2, 8, 3, 3, 5, 5, 2, 2, 2, 2, 4, 4, 6, 6, 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 6, 7, 2, 6, 3, 8, 3, 3, 5, 5, 2, 2, 2, 2, 4, 4, 6, 6, 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 6, 7 PPU (Picture Processing Unit) PPU CPU 0x2000 ~ 0x PPU VRAM 0x20001 bit3,4 0 0x PPU Status Register : - bit0-4: - bit5: (0:8, 1:9 ) - bit6: (1) - bit7: VBlank 1, 0x PPU Memory Data : PPU 0x PPU Control Register1 : - bit0-1: (0b00=0x2000, 0b01=0x2400, 0b10=0x2800, 0b11=0x2c00) - bit2: PPU (0:+1, 1:+32) - bit3: (0:0x0000, 1:0x1000) - bit4: (0: 0x0000, 1:0x1000) - bit5: (0:88, 1:816) - bit6: PPU Master/slave (0: Master) - bit7: VBlank NMI (0:, 1: ) 0x PPU Control Register2 : - bit0: (0:, 1: ) - bit1: (0: 8, 1: ) - bit2: (bit1 ) - bit3: (0:, 1: ) - bit4: (0:, 1: ) - bit7-5: 000( ), 001(Green), 010(Blue), 100(Red) 0x Sprite Memory (OAM) Address :0x2004OAM 0x Sprite Memory (OAM) Data :0x2002 OAM 0x Background Scroll Offset : 0x PPU Memory Address :0x2007 PPU (h,l ) 0x PPU Memory Data

14 0x2006 PPU ( VRAM pc (0x2000 bit2 )) OAM(Object Attribute Memory) 4byte byteOAM 64 0byte : Y 1byte : 2byte : bit7: (0:, 1: ) bit6: (0:, 1: ) bit5: (0:, 1: ) bit2-4: (0 ) bit0-1: 2 3byte : X VRAM 0x0000 ~ 0x0fff : 1 ( ) 0x1000 ~ 0x1fff : 2 () 0x2000 ~ 0x23bf : 1 0x23c0 ~ 0x23ff : 1 0x2400 ~ 0x27bf : 2 0x27c0 ~ 0x27ff : 2 0x2800 ~ 0x2bbf : 3 (mirror: 0x2000 ~ 0x23bf) 0x2bc0 ~ 0x2bff : 3 (mirror: 0x23c0 ~ 0x23ff) 0x2c00 ~ 0x2fbf : 4 (mirror: 0x2400 ~ 0x27bf) 0x2fc0 ~ 0x2fff : 4 (mirror: 0x27c0 ~ 0x2fff) 0x3000 ~ 0x3eff : 0x2000 ~ 0x2eff 0x3f00 ~ 0x3f0f : 0x3f20 ~ 0x3f1f : 0x3f20 ~ 0x3fff : +(0x3f00~0x3f1f) PPU VRAM(2048byte), OAM(256byte), (32byte) 0 PPUCTRL(0x2000) = 0x2003 = PPUSCROLL(0x2005) = PPUADDR(0x2006) = 0 PPUCTRL(0x2000) = 0x2003 = PPUSCROLL(0x2005) = PPUADDR(0x2006) = 0 Toggle-flag = false ( RGB ) Hue Brightness6bit(64 ) RGB (VRAM ) SDL 12 12*2+1=25 /

15 (0x0000 ~ 0x0fff) (88)256=4KB 0x2000 ~ 0x23bf, 0x24000 ~ 0x27bf ( 1 960byte 3230=960) ines / 64byte2* bit 2-3 2bit 4-5 2bit 6-7 2bit 2bit /

16 256byte1 16byte 16byte 8*82bit 4 ( ) 2bit addr p(x,y) = ((((read(addr + y + 8) >> (7 - x)) & 1) << 1) ((read(addr + y) >> (7 - x)) & 1)) & 3; C++ screen_buffer SDL void PPU::pattern_table_debug() { uint16_t base = 0x0000; uint8_t nespal[4] = { 0x31, 0x21, 0x11, 0x01 ; int w = 0, h = 0; for(int k = 1; k <= (0x2000 / 16); k++) { for(int y = 0; y < 8; y++) { for(int x = 0; x < 8; x++) { uint8_t c = ((((vm.get_chr(base + y + 8) >> (7 - x)) & 1) << 1) ((vm.get_chr(base + y) >> (7 - x)) & 1)) & 3; screen_buffer[(nes_screen_height * (y + h)) + (x + w)] = nespal[c]; w += 8; if((k & 0x1f) == 0) h += 7; base += 16;

17 DMA DMA 0x4014(DMA ) store DMA DMA 0x0000 ~ 0x00ff x0100 ~ 0x01ff x0200 ~ 4byte=(16bit)OAM 64 (4*64=256byte)64 DMA 256DMA 0x4014 DMA DMA 8bit x x2005 CPU NTSC 21.47MHz CPU MHz PPU 3 PC2.13GHz@Intel Core2 Duo (4GB DDR3 RAM) One scanline is EXACTLY 1364 cycles long. In comparison to the CPU's speed, one scanline is 1364/12 CPU cycles long. (ref: 1/ >1/60 -> PPU PPU

18 0 ~ ~261 VM VBlank( ) VM CPU NMII/O ( ) OAM(Object Attribute Memory) 2 ( STM) ( SBM) 0~240 OAM STM STM SBM SBM 8px 0 PPUCTRL 8px( ) PPUSCROLL (0 ~ 7) I/O CPU 0x4016,0x40171P,2P 0x4016/0x x4016/0x4017 bit0 (1: ),(0:,1: ) Zapper 0x4016/0x4017 0x4016/0x4017 bit0 1->0 / I/O bit0 1 R1: A R2: B R3: SELECT R4: START

19 R5: UP R6: DOWN R7: LEFT R8: RIGHT PPU 242 I/O VBlank 0x4016,0x4017 CPU I/O read MMC(Memory Management Controller) PRG-ROM 32KB, CHR-ROM 8KB PRG-ROM Mapper( ) Mapper-0(NROM) PRG-ROM32KB, CHR- ROM8KB ROM Mapper-0MMC Mapper-0 16KB ROM 32KB ROMiNES PRG-ROM (16KB ROM 0x3fff, 32KB ROM 0x7fff) NROM::NROM(VM& nes_vm, INES nes_ines) : vm(nes_vm), ines(nes_ines) { this->mask = ines.prg_page() > 1? 0x7fff : 0x3fff; uint8_t NROM::readPT(uint16_t addr) { return chr_ram? CHR_RAM[addr & 0x1fff] : ines.readchr(addr & 0x1fff); uint8_t NROM::readBank(uint16_t addr) { return ines.readprg(addr & mask); uint8_t NROM::readNT(uint16_t addr) { return mirroing[(addr >> 10) & 3][addr & 0x3fff]; void NROM::writeNT(uint16_t addr, uint8_t v) { mirroring[(addr >> 10) & 3][addr & 0x3fff] = v; ROM VRAM enum MirroringType { Vertical, Horizontal ; void NROM::setMirrorType(MirroringType mt) { switch(mt) { case Vertical: mirroring[0] = &vram[0]; mirroring[1] = &vram[0x400]; mirroring[2] = &vram[0]; mirroring[3] = &vram[0x400]; break; case Horizontal: mirroring[0] = &vram[0]; mirroring[1] = &vram[0]; mirroring[2] = &vram[0x400]; mirroring[3] = &vram[0x400]; break;

ROMの入手1 - インターネットからの入手 違法にアップロードされたROMをダウンロードする方法 当然ながらダウンロードも違法行為(2010年1月1日より施行) ^ÇÇêÉëë=éáå a~í~=éáå 吸い出し機の仕組み - PRG-ROM, CHR-ROM ファミコンのカートリッジにはゲームプログラム本体(PRG-ROM)と グラフィックデータ(CHR-ROM)が存在する ines Header

More information

1 IPA Hierocrypt-L1 Hierocrypt-L Hierocrypt-L1 Hierocrypt-L1 Hierocrypt-L1 Hierocrypt-L1 Hierocrypt-L1 2 Hierocrypt-L1 Hierocrypt-L1 Hierocrypt-

1 IPA Hierocrypt-L1 Hierocrypt-L Hierocrypt-L1 Hierocrypt-L1 Hierocrypt-L1 Hierocrypt-L1 Hierocrypt-L1 2 Hierocrypt-L1 Hierocrypt-L1 Hierocrypt- Hierocrypt-L1 : Hierocrypt-L1 Hierocrypt-L1 Hierocrypt-L1 Abstract: In this report, we address our security evaluation of Hierocrypt-L1. As a result, we found no critical security flaw during the limited

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

4. 半角文字コード変換表 ここでは 半角文字のコード変換についての詳細な表を記載します の文字と文字コード (16 進数 ) には 表内で灰色の網掛けを設定しています 4.1 IBMカナ文字拡張からへの変換 16 進数 16 進数 16 進数 16 進数 16 進数 16 進数 SP 0x40 S

4. 半角文字コード変換表 ここでは 半角文字のコード変換についての詳細な表を記載します の文字と文字コード (16 進数 ) には 表内で灰色の網掛けを設定しています 4.1 IBMカナ文字拡張からへの変換 16 進数 16 進数 16 進数 16 進数 16 進数 16 進数 SP 0x40 S 2013 年 4 月 3 日 お客様各位 株式会社セゾン情報システムズ HULFT 事業部 コード変換機能での のサポート 拝啓貴社ますますご清祥のこととお慶び申し上げます 平素は格別のご高配を賜り 厚く御礼申し上げます idivo Ver.1.4.0 では コード変換機能で変換できるコード体系の 1 つとして をサポートしました ついては 次に示すコード変換のパターンにおける 文字と文字コード (16

More information

1

1 Ver.1.04 Reference Document For LCD Module Product No Documenet No 1B3GB02 SPC1B3GB02V104 Version Ver.1.04 REPRO ELECTRONICS CORPORATION Maruwa Building 2F,2-2-19 Sotokanda,Chiyoda-ku,Tokyo 1001-0021 Japan

More information

AD7142: 静電容量タッチ・センサ向けのプログラマブル・コントローラ

AD7142: 静電容量タッチ・センサ向けのプログラマブル・コントローラ AD7142 CDC 36ms 1fF 14 RC RAM SPI AD7142 I 2 C AD7142-1 V DRIVE GPIO 325mm5mm LFCSP_VQ 2.63.6V 1mA 50µA A/V CIN0 30 CIN1 31 CIN2 32 CIN3 1 CIN4 2 CIN5 3 CIN6 4 CIN7 5 CIN8 6 CIN9 7 CIN10 8 CIN11 9 CIN12

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

untitled

untitled EPX-64S Rev 1.2 1.. 3 1.1.......... 3 1.2....... 3 1.3....... 4 1.4... 4 1.5... 4 2........ 5 2.1.... 5 EPX64S_GetNumberOfDevices........ 5 EPX64S_GetSerialNumber........ 6 EPX64S_Open....... 7 EPX64S_OpenBySerialNumber

More information

Ethernet / / Ver

Ethernet / / Ver Ethernet / / Ver. 7.1 2007.09.10 1 I/O...1-1 1.1...1-1 1.2...1-1 1.3...1-1 1.4...1-2 1.5...1-3 2...2-1 2.1...2-1 2.1.1...2-1 2.1.2...2-1 2.1.3...2-3 2.1.4...2-3 2.1.5...2-4 2.1.6...2-5 2.2 Ethernet...2-6

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

WAGO Ch 測温抵抗体入力モジュール 取扱説明書

WAGO Ch 測温抵抗体入力モジュール 取扱説明書 WAGO-I/O-SYSTEM 750 750-450 I/O 750 750-450 4ch Copyright ª 2015 by WAGO Kontakttechnik GmbH & Co. KG All rights reserved. WAGO Kontakttechnik GmbH & Co. KG Hansastraße 27 D-32423 Minden Phone: +49 (0)

More information

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM 2014/4/22 1 1.1 SRAM SRAM 1 128K 1M 128K 8 17 8 SRAM CS 1 OE 2 WE 3 CS OE WE V CC V SS 1: SRAM SRAM 2 2 (a) t ACC t RC 1 2 (b) t CSW CS 1 chip select 2 output enable 3 write enable 1 c 2014 2 t WC 1 2:

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

SystemC 2.0を用いた簡易CPUバスモデルの設計

SystemC 2.0を用いた簡易CPUバスモデルの設計 SystemC 2.0 CPU CPU CTD&SW CT-PF 2002/1/23 1 CPU BCA UTF GenericCPU IO (sc_main) 2002/1/23 2 CPU CPU CQ 1997 11 Page 207 4 Perl Verilog-HDL CPU / Verilog-HDL SystemC 2.0 (asm) ROM (test.hex) 2002/1/23

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information

untitled

untitled CISC(complex instruction set computer) RISC(reduced instruction set computer) (cross software) (compiler) (assembler) (linkage editor) (loader) tokenizer) (parser) (code generator) (execute) GNU http://www.gnu.org/

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

main.dvi

main.dvi 20 II 7. 1 409, 3255 e-mail: namba@faculty.chiba-u.jp 2 1 1 1 4 2 203 2 1 1 1 5 503 1 3 1 2 2 Web http://www.icsd2.tj.chiba-u.jp/~namba/lecture/ 1 2 1 5 501 1,, \,", 2000 7. : 1 1 CPU CPU 1 Intel Pentium

More information

ディジタルシステム設計

ディジタルシステム設計 Z80 Z80 Z80 Z80 ROM RAM I/O 8255 8251 Z80PIO Z80CTC Z80SIO R C L Tr OP TTL MCB Z MC Z Z80 Z80 TMPZ84015BF KL5C8012 64180 H8 H8 PIC Microchip Technology PIC Z80 F A A' ALU B D H C E L IX IY SP PC C E L

More information

tutorial_lc.dvi

tutorial_lc.dvi 00 Linux v.s. RT Linux v.s. ART-Linux Linux RT-Linux ART-Linux Linux kumagai@emura.mech.tohoku.ac.jp 1 1.1 Linux Yes, No.,. OS., Yes. Linux,.,, Linux., Linux.,, Linux. Linux.,,. Linux,.,, 0..,. RT-Linux

More information

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします はじめにこのたびは 弊社 T-IADA168 をお買い上げ頂きましてまことにありがとうございます このマニュアルは T-IADA168 の概要等について説明しています 各 LSI についての詳細は必要に応じてデータシートを参照してください ハードウエアの不具合に関しましてのサポートはいたしますが RTOS を含めたソフト面のサポートは基本的にはしておりません 注意事項 (1) 本書の内容に関しては将来予告なしに変更することがあります

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

Cell/B.E. BlockLib

Cell/B.E. BlockLib Cell/B.E. BlockLib 17 17115080 21 2 10 i Cell/B.E. BlockLib SIMD CELL SIMD Cell Cell BlockLib BlockLib NestStep libspe1 Cell SDK 3.1 libspe2 BlockLib Cell SDK 3.1 NestStep libspe2 BlockLib BlockLib libspe1

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

untitled

untitled 4 1 1 7 7 10 10 HDD 15 CD-ROM 15 FDD 16 16 17 18 BIOS 18 BIOS 18 OSWindowsXP 22 22 30 33 IC CPU ICAND,OR NOT IC CPU IC IC 1-1 (Hard Disk Drive) CD-ROM (Floppy Disk Drive) 1 Input Output CPU CPU CPU CPU

More information

MAX7319 EV.J

MAX7319 EV.J 19-4043; Rev 0; 2/08 PART TYPE MAX7319EVKIT+ EV Kit DESIGNATION QTY DESCRIPTION C1, C5 C9, C17, C18, C37 9 0.1μF ±10%, 16V X7R ceramic capacitors (0603) TDK C1608X7R1C104K C2 0 Not installed, capacitor

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

Arduino UNO IS Report No. Report Medical Information System Laboratory

Arduino UNO IS Report No. Report Medical Information System Laboratory Arduino UNO 2015 2 25 IS Report No. Report Medical Information System Laboratory Abstract ( ) Arduino / Arduino Bluetooth Bluetooth : Arduino Arduino UNO Arduino IDE micro computer LED 1............................

More information

64bit SSE2 SSE2 FPU Visual C++ 64bit Inline Assembler 4 FPU SSE2 4.1 FPU Control Word FPU 16bit R R R IC RC(2) PC(2) R R PM UM OM ZM DM IM R: reserved

64bit SSE2 SSE2 FPU Visual C++ 64bit Inline Assembler 4 FPU SSE2 4.1 FPU Control Word FPU 16bit R R R IC RC(2) PC(2) R R PM UM OM ZM DM IM R: reserved (Version: 2013/5/16) Intel CPU (kashi@waseda.jp) 1 Intel CPU( AMD CPU) 64bit SIMD Inline Assemler Windows Visual C++ Linux gcc 2 FPU SSE2 Intel CPU double 8087 FPU (floating point number processing unit)

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

Microsoft Word - ArmadilloHard112a.doc

Microsoft Word - ArmadilloHard112a.doc HT1070 hardware manual Version 1.12 2005 年 3 月 20 日 http://www.umezawa.co.jp http://www.atmark-techno.com http://armadillo.atmark-techno.com Armadillo hardware manual ver.1.12 1. 1 2. 2 2.1. 2 2.2. 2

More information

untitled

untitled ( ) () ( ) 1 1 TX19A31_AG3 TX19A/H1 CPUTMP19A31CYFG AG3 AG3 2 2 3 TX19A31_AG3 CPU 32 RISC TMP19A31CYFG (U1) 80MHz ROM 32Mbit ROM 1 ROM (U8) 16Mbit Flash ROM 1 (U9) RAM 4Mbit SRAM 1 (U10) I/F RS232C 1chSIO

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

(Version: 2017/4/18) Intel CPU 1 Intel CPU( AMD CPU) 64bit SIMD Inline Assemler Windows Visual C++ Linux gcc 2 FPU SSE2 Intel CPU do

(Version: 2017/4/18) Intel CPU 1 Intel CPU( AMD CPU) 64bit SIMD Inline Assemler Windows Visual C++ Linux gcc 2 FPU SSE2 Intel CPU do (Version: 2017/4/18) Intel CPU (kashi@waseda.jp) 1 Intel CPU( AMD CPU) 64bit SIMD Inline Assemler Windows Visual C++ Linux gcc 2 FPU SSE2 Intel CPU double 8087 FPU (floating point number processing unit)

More information

SystemC言語概論

SystemC言語概論 SystemC CPU S/W 2004/01/29 4 SystemC 1 SystemC 2.0.1 CPU S/W 3 ISS SystemC Co-Simulation 2004/01/29 4 SystemC 2 ISS SystemC Co-Simulation GenericCPU_Base ( ) GenericCPU_ISS GenericCPU_Prog GenericCPU_CoSim

More information

Intel Memory Protection Extensions(Intel MPX) x86, x CPU skylake 2015 Intel Software Development Emulator 本資料に登場する Intel は Intel Corp. の登録

Intel Memory Protection Extensions(Intel MPX) x86, x CPU skylake 2015 Intel Software Development Emulator 本資料に登場する Intel は Intel Corp. の登録 Monthly Research Intel Memory Protection Extensions http://www.ffri.jp Ver 1.00.01 1 Intel Memory Protection Extensions(Intel MPX) x86, x86-64 2015 2 CPU skylake 2015 Intel Software Development Emulator

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

LIN (Local Interconnect Network) マスタ編

LIN (Local Interconnect Network) マスタ編 お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

(2 Linux Mozilla [ ] [ ] [ ] [ ] URL 2 qkc, nkc ~/.cshrc (emacs 2 set path=($path /usr/meiji/pub/linux/bin tcsh b

(2 Linux Mozilla [ ] [ ] [ ] [ ] URL   2 qkc, nkc ~/.cshrc (emacs 2 set path=($path /usr/meiji/pub/linux/bin tcsh b II 5 (1 2005 5 26 http://www.math.meiji.ac.jp/~mk/syori2-2005/ UNIX (Linux Linux 1 : 2005 http://www.math.meiji.ac.jp/~mk/syori2-2005/jouhousyori2-2005-00/node2. html ( (Linux 1 2 ( ( http://www.meiji.ac.jp/mind/tool/internet-license/

More information

untitled

untitled I/O DP/FMS DP 750-301 750-303 750-323 750-131 Ver. 2.3.1 2009.1. 7 Copyright 1997-2001 by WAGO Kontakttechnik GmbH All rights reserved. WAGO Hansastraβe 27 D-32423 Minden +49 0 571/8 87-0 +49 0 571/8 87-1

More information

液晶プロジェクター CP-S317J/X327J 取扱説明書

液晶プロジェクター CP-S317J/X327J 取扱説明書 CP-S317/CP-X327/CP-X328 STANDBY/ON VIDEO RGB SEARCH ASPECT MAGNIFY ON OFF FREEZE POSITION ESC HOME END PAGE DOWN ENTER AUTO PAGE UP BLANK VOLUME MUTE KEYSTONE MENU RESET TANDBY/ON INPUT KEYSTONE RESET

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

V850E2/ML4 マイクロコンピュータ Peripheral LibUSB Demo アプリケーションノート

V850E2/ML4 マイクロコンピュータ Peripheral LibUSB Demo アプリケーションノート R01AN1098JJ0100 Rev.1.00 V850E2/ML4 USB PC V850E2/ML4 CPU LED V850E2/ML4(uPD70F4022) 1.... 2 2.... 3 3.... 4 4.... 6 5.... 9 6.... 18 R01AN1098JJ0100 Rev.1.00 Page 1 of 27 1. 1.1 V850E2/ML4 USB PC LibUSB

More information

MINI2440マニュアル

MINI2440マニュアル ARM Cortex-M3 STM32F103 (GCC TOPPERS/ASP ) http://www.nissin-tech.com info@nissin-tech.com 2009/10/15 copyright@2009 1 STM32F103...3 STM32...4...8 3.1...8 3.2...9 3.3...13 KEIL...19 4.1 KEIL...19 4.2...22

More information

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション Version2.0(2009.2.6) Copyright 2008 by WAGO Kontakttechnik GmbH All rights reserved. WAGO Kontakttechnik GmbH Hansastraße 27 D-32423 Minden Phone: +49 (0) 571/8 87 0 Fax: +49 (0) 571/8 87 1 69 E-Mail:

More information

Microsoft Word - 本文.doc

Microsoft Word - 本文.doc - 内蔵コンパイラ ForCy で学ぶ - 組み込みプログラミング ForCy USB 2.0 初版 2007/08/03 第 2 版 2009/02/03 有限会社リカージョン - 内蔵コンパイラ ForCy で学ぶ - 組み込みプログラミング FORTH C + 4 / :ForCy i ForCy-USB ForCy-USB USB ForCy C - 2 - ForCy-USB Atmel

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Complex Lab – Operating Systems - Graphical Console

Complex Lab – Operating Systems - Graphical Console Complex Lab Operating Systems Graphical Console Martin Küttler Last assignment Any questions? Any bug reports, whishes, etc.? 1 / 13 We are here Pong Server Paddle Client 1 Paddle Client 2 Memory Management

More information

形2JCIE-BU01 環境センサ(USB型) ユーザーズマニュアル

形2JCIE-BU01 環境センサ(USB型) ユーザーズマニュアル Table of Contents 1. Introduction... 4 1.1. Scope... 4 1.2. Communication interface... 4 1.3. Operation mode... 5 1.3.1 Normal mode... 5 1.3.2 Acceleration logger mode... 5 1.4. Use case... 6 1.4.1 BLE

More information

SE-800 INSTRUCTION BOOK

SE-800 INSTRUCTION BOOK 1 2 3 4 1b 1a 2 3 6 7 8 9 1c 1d 1e 4 5 11a 10 11b 11c 11d 13 12 14 5 6 7 3 4 5 6 7 8 1 2 24 23 22 9 10 11 21 20 19 18 16 17 15 14 13 12 8 9 10 11 12 13 14 1. 2. 3. 4. 5. 1. 2. 15 16 17 18 19 20 datavideo

More information

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit)

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit) 2016.4.1 II ( ) 1 1.1 DRAM RAM DRAM DRAM SRAM RAM SRAM SRAM SRAM SRAM DRAM SRAM SRAM DRAM SRAM 1.2 (DRAM, Dynamic RAM) (SRAM, Static RAM) (RAM Random Access Memory ) DRAM 1 1 1 1 SRAM 4 1 2 DRAM 4 DRAM

More information

untitled

untitled PC murakami@cc.kyushu-u.ac.jp muscle server blade server PC PC + EHPC/Eric (Embedded HPC with Eric) 1216 Compact PCI Compact PCIPC Compact PCISH-4 Compact PCISH-4 Eric Eric EHPC/Eric EHPC/Eric Gigabit

More information

1 122

1 122 6 1 2 3 4 5 6 1 122 PhoenixBIOS Setup Utility MainAdvancedSecurityPowerExit MainSystem DevicesSecurityBootExit System Time: [XX:XX:XX] [XX:XX:XX] System Date: [XX/XX/XX] [XX/XX/XXXX] Item Specific Help

More information

FreeBSD 1

FreeBSD 1 FreeBSD 1 UNIX OS 1 ( ) open, close, read, write, ioctl (cdevsw) OS DMA 2 (8 ) (24 ) 256 open/close/read/write Ioctl 3 2 2 I/O I/O CPU 4 open/close/read/write open, read, write open/close read/write /dev

More information

OAKS16-FullKit

OAKS16-FullKit 1 2 3 1.... 6 1.1... 6 1.2.CDROM... 6 1.3.... 6 1.4... 8 1.5.... 9 2.... 10 2.1... 10 2.2... 10 3... 11 3.1.... 11 3.2.OAKS16-MINI M30262F8GP... 12 3.3.OAKS16-MINI EXBOARD... 12 3.4.KD30... 12 3.5.NC30WA...

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Express5800/110Ee (2002/01/22)

Express5800/110Ee (2002/01/22) (2002/01/22) 1. N8100-691 ( /1BG(256)) CPU L1 L2 CD-ROM LAN OS Pentium 1.0BGHz 1 32KB 256KB 128MB 1.5GB ( IDE 60GB 3( IDE 2)) ( SCSI 18.1GB 3) 14 40 100BASE-TX 10BASE-T 640 480 1280 1024(VRAM 8MB) 2. CD-ROM

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

2004 OS : : : 1G01P033-1 :

2004 OS : : : 1G01P033-1 : 2004 OS : 17 2 2 : : 1G01P033-1 : 1 1 1.1....................................... 1 1.2....................................... 1 1.3................................... 1 2 2 2.1 MINIX......................................

More information

1007  ステルスデバッガを利用したマルウェア解析手法の提案

1007  ステルスデバッガを利用したマルウェア解析手法の提案 マルウェア対策研究人材育成ワークショップ 2008 ステルスデバッガを利用したマルウェア 解析手法の提案 NTT 情報流通プラットフォーム研究所 川古谷裕平岩村誠伊藤光恭 2008/10/10 1 目次 背景 ステルスデバッガの提案 CCC Dataset 2008 検体による評価 考察 まとめ 2008/10/10 2 背景 マルウェアの高度化 高機能化 柔軟な機能追加 自身の隠蔽化 耐解析機能

More information

iExpress5800/N サーバハードウェア

iExpress5800/N サーバハードウェア Press F2 to enter SETUP/Q-Flash Main A Date (mm:dd:yy) Time (hh:mm:ss) >IDE Primary Master IDE HDD Auto-Detection Press Enter Write Cache Disabled Enabled IDE Primary Master Auto None Manual Access

More information

EVI-D100/D100P

EVI-D100/D100P A-AYS-100-11(1) EVI-D100/D100P 2001 Sony Corporation ... 3... 4... 5... 7... 18 D30/D31... 40... 46... 48... 49 2 3 VIDEO S S S VIDEO VISCA 1 VISCA IN VISCA OUT RS-232C EVI-D100/P VISCA IN AC DC IN 12V

More information

4

4 I/O 2AO DC0-10V/ 10V 16Bit Ver. 1.0.0 2 750-562 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO Kontakttechnik

More information

\\afs001-0m0005\project02\A32\M

\\afs001-0m0005\project02\A32\M Technical Information 2004.09 2009.04 Store Request Query Request Retrieve Request DICOM Client Application Remote SCP Remote Query/Retrieve SCP Image Stored * DICOM Server Application Remote SCU Print

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

ESMPRO/ServerManager 経由で受信するイベント一覧 本資料では ESMPRO/ServerManager 経由で受信するイベントを記載します イベント区分が " その他 " 以外のイベントはリファレンスガイドデータ編を参照してください 以下の障害イベントは ポリシー設定の ポリシー

ESMPRO/ServerManager 経由で受信するイベント一覧 本資料では ESMPRO/ServerManager 経由で受信するイベントを記載します イベント区分が  その他  以外のイベントはリファレンスガイドデータ編を参照してください 以下の障害イベントは ポリシー設定の ポリシー ESMPRO/ServerManager 経由で受信するイベント一覧 本資料では ESMPRO/ServerManager 経由で受信するイベントを記載します イベント区分が " その他 " 以外のイベントはリファレンスガイドデータ編を参照してください 以下の障害イベントは ポリシー設定の ポリシー規則設定 ウィンドウで [ 通報元 ] に "SystemMonitorEvent" を指定することで確認でき

More information

S5U1C8F360T1 Manual (S1C8F360 DEMO Board)

S5U1C8F360T1 Manual (S1C8F360 DEMO Board) MF-0 CMOS -BIT SINGLE CHIP MICROCOMPUTER SUCF0T Manual (SCF0 DEMO Board) Hardware/Software SEIKO EPSON CORPORATION 00 S C 0 F 0A0 00 SU C D 00 SUCF0T Manual I HARDWARE SUCF0T MANUAL EPSON I-i (SCF0 DEMO

More information

Microsoft PowerPoint - NxLecture ppt [互換モード]

Microsoft PowerPoint - NxLecture ppt [互換モード] 011-05-19 011 年前学期 TOKYO TECH 命令処理のための基本的な 5 つのステップ 計算機アーキテクチャ第一 (E) 5. プロセッサの動作原理と議論 吉瀬謙二計算工学専攻 kise_at_cs.titech.ac.jp W61 講義室木曜日 13:0-1:50 IF(Instruction Fetch) メモリから命令をフェッチする. ID(Instruction Decode)

More information

プロセッサ メモリ パリティ エラー(PMPE)

プロセッサ メモリ パリティ エラー(PMPE) プロセッサメモリパリティエラー (PMPE) 目次 はじめに前提条件要件使用するコンポーネント表記法パリティ エラーを識別して下さいソフトパリティエラー対ハードパリティエラー問題を隔離して下さい Cisco 4500 および 4700 プラットフォーム Route/Switch Processor (RSP) Network Processing Engine (NPE) および Route Processor

More information

64bit SSE2 SSE2 FPU Visual C++ 64bit Inline Assembler 4 FPU SSE2 4.1 FPU Control Word FPU 16bit R R R IC RC(2) PC(2) R R PM UM OM ZM DM IM R: reserved

64bit SSE2 SSE2 FPU Visual C++ 64bit Inline Assembler 4 FPU SSE2 4.1 FPU Control Word FPU 16bit R R R IC RC(2) PC(2) R R PM UM OM ZM DM IM R: reserved (Version: 2013/7/10) Intel CPU (kashi@waseda.jp) 1 Intel CPU( AMD CPU) 64bit SIMD Inline Assemler Windows Visual C++ Linux gcc 2 FPU SSE2 Intel CPU double 8087 FPU (floating point number processing unit)

More information

2008 DS T050049

2008 DS T050049 DS T050049. PSP DS DS DS RPG DS OS Windows XP DevkiPro OS DS CPU ARM devkitarm MSYS MinGW MSYS MinGW Unix OS C++ C++ make nds nds DS DS micro SD Card nds DS DS DS nds C Java C++ nds nds DS 2008 DS T050049

More information

Microsoft Word - T-AD16P.doc

Microsoft Word - T-AD16P.doc T-AD16P rev.1.0 取扱説明書 PC/104 バスシリーズ 16ビットADコンバータ差動 8ch シングルエンド16ch 各商品は 各社の商標 登録商標です ( 株 ) ティーエーシー各種制御用マイクロコンピュータ設計 製作 販売 この製品の外観及び仕様は品質改善のため 予告無く変更することがありますのでご了承下さい 600-8896 京都市下京区西七条西石ヶ坪町 66 電話 :075-311-7307

More information

DL1010.PDF

DL1010.PDF Delta 1010 24 Bit/96 khz PCI Digital I/O Card 2 M-AUDIO 3 Rack Unit 1. Power LED LED MIDI LED LED MIDI Delta 1010 MIDI MIDI LED LED MIDI Delta 1010 MIDI MIDI MIDI MIDI MIDI MTC Delta 1010 MIDI MIDI MIDI

More information

1 124

1 124 7 1 2 3 4 5 6 7 8 9 10 11 12 1 124 Phoenix - AwardBIOS CMOS Setup Utility Integrated Peripherals On-Chip Primary PCI IDE [Enabled] IDE Primary Master PIO [Auto] IDE Primary Slave PIO [Auto] IDE Primary

More information

CPU VS-RC003 RobovieMaker for VS-RC003

CPU VS-RC003 RobovieMaker for VS-RC003 CPU VS-RC003 RobovieMaker for VS-RC003 2 1. 4 1-1. 4 1-2.CPU 5 1-3.CPU PC 7 2. 9 2-1.PC 9 2-2. 11 2-2-1. 11 2-2-2. 13 2-2-3. 15 3. 16 3-1. 16 3-1-1. 17 3-2. 18 3-2-1.CPU 18 3-2-2. 19 3-2-3. CPU 21 3-3.

More information

REVISION 2.85(6).I 1

REVISION 2.85(6).I 1 REVISION 2.85(6).I 1 2 3 4 5 6 7 8 KDC300 ユーザーマニュアル 1.1 同梱物 本機のパッケージには 以下の物が同梱されています 1 2 3 4 本体 バーコード Data Collector 1 台 USB ケーブル 1本 ネックストラップ 1 本 ソフトウェアとユーザーマニュアルを含む CD-ROM 1枚 KTSync - XP, Vista,Windows7,

More information

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

Microsoft PowerPoint - NxLec ppt

Microsoft PowerPoint - NxLec ppt MIPS R3000 Instruction Set Architecture (ISA) 計算機アーキテクチャ特論 (Advanced Computer Architectures) 2. スカラプロセッサ, スーパースカラプロセッサ Instruction Categories Computational Load/Store Jump and Branch Floating Point coprocessor

More information

SCPH-77000

SCPH-77000 SCPH-77000 2 "PlayStation 2 " "PlayStation 2 " 2-697-297-01(1) 4 8 11 AC AC 1 2 119 2 ................................... 2...................................... 9.................................. 10.................................

More information

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD- Express5800/110Ee Pentium 1. Express5800/110Ee N8500-654 N8500-655 Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-ROM LAN Windows NT Server 4.0 Pentium 800EBMHz 1 (

More information

CANON_IT_catalog_1612

CANON_IT_catalog_1612 Image processing products Hardware /Software MatroxRadient Pro CL 7 HDR-26 HDR-26 Data Clock CC [4] UART Data Clock CC [4] UART Camera Link Interface w/ PoCL Camera Link Interface w/ PoCL Image Reconstruction

More information

SCPH-79000

SCPH-79000 SCPH-79000 2 "PlayStation 2 " "PlayStation 2" 3-214-730-01(1) 4 8 11 AC AC 1 2 119 2 ................................... 2...................................... 9.................................. 10.................................

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

4

4 I/O 2AO 0/4-20mA / DC6-18V 16Bit Ver. 1.0.0 2 750-563 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

AN-1077: ADXL345 Quick Start Guide

AN-1077: ADXL345 Quick Start Guide 09119-002 TOP 09119-001 ADXL345 Quick Start Guide by Tomoaki Tsuzuki APPLICATION NOTE PHYSICAL MOUNTING ADXL345 は 3 軸の加速度センサーです 検出軸方向を Figure1 に示します ADXL345 は検出軸の正方向に加速されると正極性の出力になります 重力は検出軸方向の逆方向の極性が出力されるので注意が必要です

More information

MAP2496.PDF

MAP2496.PDF Audiophile 2496 24 Bit 96 khz 4 in/ 4 out PCI Digital Recording Interface with MIDI 2 M-AUDIO 3 PCI 1. INS1&2 IN1 IN2 2. OUTS1&2 OUT1 OUT2 3. 15 D-sub S/PDIF MIDI 4. S/PDIF S/PDIF DAT MD A/D S/PDIF 5.

More information

imai@eng.kagawa-u.ac.jp No1 No2 OS Wintel Intel x86 CPU No3 No4 8bit=2 8 =256(Byte) 16bit=2 16 =65,536(Byte)=64KB= 6 5 32bit=2 32 =4,294,967,296(Byte)=4GB= 43 64bit=2 64 =18,446,744,073,709,551,615(Byte)=16EB

More information

MEDIAEDGE MEDIAEDGE TEL FAX :00 12:00 13:00 17: MEDIAEDGE MEDIAEDGE 8. HDMI HDMIHigh-Definition M

MEDIAEDGE MEDIAEDGE TEL FAX :00 12:00 13:00 17: MEDIAEDGE MEDIAEDGE 8. HDMI HDMIHigh-Definition M VPM-H1 HDMI http://www.mediaedge.co.jp http://www.mediaedge.co.jp TEL:078-265-1551 FAX:078-265-1550 TEL:03-3517-1655 FAX:03-3517-1657 10 00 12 00 13 00 17 00 e-mail:info-mec@mediaedge.co.jp 651-0083 5-1-14

More information

1 Dolittle C Dolittle ROM 10KB RAM KB 10KB 8 16 ARM7 ATmel AVR H8 An Implementation of Dolittle Object-Oriented Language on Ubiquitous and Emb

1 Dolittle C Dolittle ROM 10KB RAM KB 10KB 8 16 ARM7 ATmel AVR H8 An Implementation of Dolittle Object-Oriented Language on Ubiquitous and Emb 1 Dolittle 1 2 3 4 C Dolittle ROM 10KB RAM KB 10KB 8 16 ARM7 ATmel AVR H8 An Implementation of Dolittle Object-Oriented Language on Ubiquitous and Embedded Systems Mitaro NAMIKI, 1 Yasushi KUNO, 2 Susumu

More information