Microsoft PowerPoint - IEICE_matsu_ pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - IEICE_matsu_ pptx"

Transcription

1 アナログ RF CMOS 集積回路技術の 現状と今後の動向 -- ADC などのベースバンド回路を中心に -- 松澤昭 東京工業大学大学院理工学研究科

2 内容 60GHz ミリ波通信用 ADC 補間パイプライン型 ADCの提案と開発 ビット SAR ADCの開発 SAR ADCの開発課題 アナログ ADC 開発の今後

3 60GHz ミリ波通信用 ADC

4 従来のミリ波システム 年には GaAs 技術を用いて 60GHz のモデュールが完成していた しかしながら, 更なるコストダウンが必要だった他, ベースバンドチップが無く, データ伝送速度は 50Mbps 程度であった 単体デバイスがいくら高速でも, ベースバンド信号処理技術が伴わないとだめ 送信モジュール 受信モジュール Mix AMP - db 8 db BPF - db AMP 8 db ANT 5 ANT dbi f RF : GHz ANT 5 dbi AMP 8 db BPF - db AMP Mix 8 db - db IF f IFin : GHz P IFin : -5 dbm GHz 6 dbm x GHz dbm OSC 8 dbm -50 dbm F : 9 db GHz dbm OSC 6dBm GHz x IF f IFout : GHz P IFout : -7 dbm VGG.0 V VDD Vcont. 3.0 V.0~3.0 V (30 ma) (35 ma) Vcont V (35 ma) VDD VGG 3.0 V.0 V (00 ma) 03/3/

5 チップ写真 4 RF チップとベースバンドチップの VGA, ADC, DAC 回路を開発 K. Okada and A. Matsuzawa, et al., ISSCC 0. 03/3/ 65nm CMOS 40nm CMOS

6 60GHz 帯用ベースバンド SoC 5 ベースバンドチップはADC, DAC, VGA, とPLLが集積されおり, 40nmCMOSで試作した (Sonyとの共同開発) BW=GHz, 5b, 3GSps, RX: 300mW, TX: 0mW Flash ADC mw/ch, 0.03mm 当研究室が開発

7 フラッシュ ADC 6 フラッシュ型 ADC は分解能が 5~7 ビットで GHz を超える用途では未だ最適な変換方式である 実効的分解能 (ENOB) は比較器のミスマッチ電圧で決まる V RT V in Flash ADC Comps. ENOB log Voff ( ) Vq 6bit : V off <3mV Encoder D out ENOB [bit] V RB 03/3/

8 ダイナミック比較器 7 貫通電流が流れず, 高速 (4GHz 程度までは動作可能 ) かつ低電力なダイナミック型比較器を提案 定常電流が流れず低電力 容量によりオフセット電圧の補償が可能 (mv 程度は可能 ) でかつ低ノイズ CLK Dynamic amplifier Latch Mismatch Comp. Nb Na Nb Na C L C L N3b V DD N N N3a V DD V in+ I D I D V in- For CAL M M N3a Output N3b GND 03/3/ 90nm CMOS M. Miyahara and A. Matsuzawa, et al., A- SSCC, Nov Y. Asada, and A. Matsuzawa, et al., A-SSCC, Nov. 009.

9 低消費電力化 8 5~6 ビット ADC の面積と FoM( 変換エネルギー ) は正比例する低電力 ADC の実現には小面積化が不可欠 FoM (pj/conv.step) b, Flash 5b, Flash 6b, SAR(b) 5b, Flash 6b, Flash 6b, Flash 6b, Flash 6b, Subrange 5b, b Fold and Flash 6b, Flash 6b, Flash 5b, Flash Our developed FoM Pd f E c c ENOB N ENOB 03/3/ Area (mm )

10 低電力 高精度比較器の設計 9 トランジスタサイズを減少させて消費エネルギーを下げ, ミスマッチ電圧の増大はデジタルミスマッチ補償技術により抑制して高精度化する Offset mismatch (mv) mV 0 ミスマッチ補償 E c =50fJ Transistor size (um ) オフセット消費電力 E c (fj) V o ffset( σ ) LW E E c 設計例 6bit ADC: V off <3mV E C <50fJ0.um V off =0mV Needs mismatch compensation 0mV 3mV C c c Voffset LW σ 03/3/

11 ダイナミック型比較器のオフセット電圧補償 0 動作電流か負荷容量を変えてスルーレートを制御することでオフセット電圧補償が可能になる 初段のダイナミックアンプの等価回路 V i g m V i I D V L C L V DD ΔV i V eff V DD ΔC CL L ΔI I V D eff D V GS V T t d CLK Dynamic amplifier Latch V DD V L V in+ V L V L C L C L I D I D V in- For CAL M M V DD / Output t d time M. Miyahara and A. Matsuzawa, et al., A-SSCC, Nov /3/

12 オフセット補償結果 3.7 mv のオフセット電圧を.7mV に低減した Measured result V offset Voffset V offset 03/3/

13 60GHz トランシーバ用フラッシュ ADC M. Miyahara and A. Matsuzawa, et al., RFIC 0. Normalized Power [db] Fin = 00 MHz VGA Gain = db Frequency [MHz] *single channel inc. S/P *

14 ADC 性能の比較 3 60GHz トランシーバ用として世界最小レベルの消費電力とコア面積を達成 Architecture Cal. fs [GS/s] SNDR [db] Power [mw] FoM [fj/-c.s.] Process [nm] Area [mm ] [] Flash [] SAR Internal [3] Folding Internal [4] Pipeline, Folding External [5] Flash Internal This work Flash Internal [] K. Deguchi, et al., VLSI Circuits 007 [] E. Alpman, et al., ISSCC 009 [3] Y. Nakajima, et al., VLSI Circuits 007 [4] B. Verbruggen, et al., ISSCC 00 [5] T. Ito, et al., A-SSCC 00 3

15 4 補間パイプライン型 ADC の提案と開発 固定ポイントミリ波通信機器用

16 高速信号伝送と ADC 性能 5 伝送回路のデータレートは ADC の分解能 N と変換周波数 f s の積に比例する D N rate f s N: 分解能 f s : 標本化周波数 ノイズが ADC の量子化ノイズで決まると仮定した場合 シャノンの定理 C BW log ADC の BW と SNR BW したがって f s C Nf s P P S N P S N P N ADC.5 f s : 標本化周波数 N: 分解能 BER 多値変調の実現には高い SNR が必要 QPSK 6QAM 64QAM 56QAM 0 5 6) SNR (db)

17 実際例 : 38GHz Gbps 固定無線 6 38GHz Gbps 固定無線システムを共同開発した Compatible with Gbit Ethernet Hole system is integrated with planar antenna 日本無線との共同開発 Gigabit Ethernet Transceiver Baseband SoC LPF BPF LNA BPF RX ANT RJ-45 Surge Protector PoE Interface LPF LPF PA BPF TX ANT Ethernet Cable RJ-45 Surge Protector PoE Interface RJ-45 03/3/

18 Mixed signal BB SoC アナログ デジタル混載ベースバンド SoC を開発した 64QAM (Gbps) を用い信号帯域は 60MHz. 7 Base band SoC 研究室で開発した ADC & DAC 90nm CMOS 40M Transistors

19 ADC 性能とビット誤り率 8 BER 64QAM で十分低いビット誤り率を達成するためには有効分解能の高い ADC が不可欠有効分解能が 8 ビット以上になって初めて BER が目標を満たした.E-0.E-03.E-04.E-05.E-06.E-07.E-08.E-09.E-0.E-.E-.E-3.E-4 C/N vs 64QAM_BER on B-B pair ENOB= ENOB= ENOB= C/N [db] ENOB: ADC の有効分解能 64QAM BW=60MHz

20 微細化による利得低下とADCへの影響 9 微細化とともにV A が低下し, 十分な利得が実現困難になった また, 低電圧化に伴う振幅低下が深刻になってきた Pipelined ADC In DAC Sub-ADC Out Op-Amp x, 8x, ADC V s V DD =.0V Op-Amp 利得低下による誤差の発生 -30 V DD V eff G ( LSB ) 3 G 6N 0 ( db ) N N:Resolution V s =(V DD -V eff ) -40 In Out V s V eff =V GS -V T VOUT / mv dB gain -80 V eff Time/uSecs usecs/div

21 補間パイプライン型 ADC の提案 0 補間技術を用いることで, 正確な絶対利得は不要になり, 相対利得さえ確保できれば高精度変換を実現できる このため高速オープンループ型増幅器も使用可能になった V in -V R, n V oa V xa V oa V xa Vxa 3V oa Vob 4 V xb Vxa V oa V 4 ob V R, n V R, n+ Signal range V ob V in V in -V R, n+ V ob V xb V x m n mv oa nv ob 利得は4 程度でもかまわない M. Miyahara, A. Matsuzawa, VLSI-CS, 0. J. Mulder, et al., ISSCC, 0.

22 オープンループ型増幅器 利得 4 程度のオープンループ型増幅器を使用した 直線性は確保する必要がある 最近は ビット分解能に対応した低電力 高線形増幅器を開発した G= 4 Gain mismatch <.%(3)

23 重み付け容量による補間回路 重み付け容量による補間回路は, 補間機能だけでなく, サンプルホールドや増幅器のオフセット電圧キャンセルも実現できる V x m m n G a n m n V V G V V in ra b in V V oa ob V ' V ' oa ob rb G G a b G G a b V V in in V V V V ra rb off_a off_b V V off_a off_b M = m+n V ob mc nc mc nc V oa Sampling phase V ob mc nc mc nc V oa V x Interpolation phase

24 性能比較 OPアンプやリニアリティ補正を用いなくても0bit 30Mspsのパイプライン型 ADCが実現できた This Work [] [6] [7] Resolution (bit) F sample (MS/s) V DD (V) Power (mw) ENOB peak (bit) FoM Fs / FoM ERBW (pj/c.-s) 0.35 / /0.44 Technology (nm) Active Area (mm ) Amplifier type Open Closed Closed Closed Linearity Compensation No Yes No Yes [] A. Verma and B. Razavi, IEEE J. Solid-State Circuits, vol. 44, Nov., 009. [6] S. Lee, Y. Jeon, K. Kim, J. Kwon, J. Kim, J. Moon, and W. Lee, ISSCC, 007. [7] H. Chen, W. Shen, W. Cheng, and H. Chen, A-SSCC, M. Miyahara, A. Matsuzawa, VLSI-CS, 0.

25 直線性の向上 4 はじめは 0 ビット精度も難しかったが, 最近は ビット精度も可能となった.5 bit, 40MSps DNL<.0 LSB DNL [LSB] CODE

26 5 ビット SAR ADC の開発 汎用 ADC の開発とスケーラブル ADC への展開

27 V o- 低エネルギー ADC 設計の基本コンセプト CML 論理回路通常の増幅器 CL R L R L C L Vo+ V i+ V i- I s CMOS 論理回路のような ADC の実現 高速動作でも低速動作でも回路は同じ 消費電力が与えられた変換クロック周波数に自動的に比例する クロックが止まったら電源電流は流れない V DD f P f togle d togle V V I DD I s C DD L s V i P C V d DD L CMOS 論理回路. R O C L V DD V o f P togle d E f d togle fe T d C r L V R C o fc DD L L V 6 R C o DD L 動作速度を上げるためには消費電流を増やさなければならない 動作速度を上げても消費エネルギーは増えない

28 SAR ADC 7 SAR ADC は容量 DAC とダイナミック型比較器, セルフクロック回路を用いることで CMOS 論理回路のような ADC を実現できる またオペアンプを用いていないので信号振幅を高くすることが可能で, 低電圧動作にも対応できる C C 4 容量 DAC C 8 C 6 C 6 S S S 3 S 4 S 5 S 比較器 倫理回路 S 0 スイッチ Vref Vin E CV ref V in 標本化機能 C N A Q CV in V ref DAC 減算機能 N A C V x V C x V sig 0 α V ref

29 セルフクロッキング技術 8 従来の N ビット SAR ADC では変換周波数 f s の N 倍以上の動作周波数が必要で, 使用を困難にしていた ( 例えば N=bit, f s =80MHz では.GHz 程度のクロックが必要 ) しかしながらダイナミック型比較器では出力状態により比較動作の終了が判定できるので, 外部クロックが不要となり, 変換を開始するコマンドだけで動作するようになった 比較器の出力波形 セルフクロッキング回路 b 比較期間 比較終了 変換コマンド

30 高精度化 :CDAC の構成 9 CDAC はスプリット容量を使用して容量値を極小にし, フローティング容量補償容量ミスマッチ補償回路を設けたが, これによる面積増加は殆どない Capacitance mismatch CAL Floating capacitance CAL Sprit capacitor Comparator INL [LSB] INL [LSB] Capacitance mismatch CAL Before 修正前 修正後 After OUTPUT CODE bit INL[LSB] 補正後 After 補正前 Before Main CDAC Floating capacitor CAL OUTPUT CODE bit SAR

31 90nm CMOS による設計試作 30 90nm CMOS を用いて設計 試作を行った TSMC 90nm CMOS bit, 60MHz, 3mW が目標 0.3mm

32 評価結果 : リニアリティ 3 bit bit DNL INL_FIT デジタル容量ミスマッチ補正回路に不具合 容量のミスマッチ ( 位置依存 ) が原因で -3 LSB 程度の大きなリニアリティエラーが出ている 65nm では補償回路の見直し, レイアウトの最適化でかなり低減できる見通し

33 b SAR ADC の評価結果 3.V で 60MHz,.0V で 50MHz で動作し,60dB の SNDR が得られた 消費電力は変換周波数に比例し,V dd を下げると大幅な消費電力低減 リーク電流 : 0uA V DD =.V 0uA V DD =.0V SNDR vs. f s P d vs. f s db 程度までは向上可能 5 リーク電流は対策可能 SNDR (db) V DD =.0V V DD =.V Power dissipation (mw) 4 3 V DD =.V V DD =.0V Sampling freq. (MHz) Sampling freq. (MHz)

34 33 SAR ADC の開発課題

35 MIM 容量の限界と MOM 容量 34 MOM 容量は MIM 容量と違い微細化により容量密度が増加する したがって, 微細化プロセスを用いることで占有面積が小さくなり, 距離が短縮されるので, 高速化, 低電力化を図ることができる MOM 容量により微細化とともに容量部の面積縮小が可能である 3 MOM capacitor Density (ff/um ) MIM 容量 MOM 容量 Design rule (nm)

36 MOM 容量の精度飽和の要因 35 MOM 容量は面積を増加させても精度が飽和するが, その大きな要因は容量の位置依存が強いことである 500um で % 程度の容量傾斜がある 設計ではこの点を考慮したレイアウトや誤差補正技術が不可欠 容量値 [ff] このようにビットを固めたレイアウトでは容量値の位置依存による INL 劣化が大きい MOM_A3 ff (.%).75%/mm 一つ目の容量からの距離 [μm]

37 比較時のノイズ 36 比較時のノイズは CDAC 容量 (= サンプリング容量 ) ではなく, 寄生容量で決まることになってしまう 寄生容量が小さいと大きなノイズが発生 CDAC 容量 C s 寄生容量 比較器 V REF 参照電圧 4kTR ON Hz R ON C s C s Cs C p C p C p H( s) C C v p n p s s, on p R C kt p R on p Cs Cs C p kt C C p p

38 過渡ノイズ解析結果 もしも寄生容量がゼロだと, ノイズ帯域を上げると大きなノイズを発生する 寄生容量があるとその値で決まる 37 C p =0 Fmax=THz,RMS=3.3mV Fmax=00THz, RMS=3.8mV C p =0fF Fmax=THz, RMS=0.95mV Fmax=0THz, RMS=0.88mV

39 参照電圧 入力信号端周りの影響 38 参照電圧周辺や入力信号端のインピーダンス特性が変換特性に影響を与える 最もタフな課題であろう SAR ADC はこんな特性が観測される DNL [LSB] Fin=MHz DNL [LSB] Fin=5MHz OUTPUT CODE OUTPUT CODE 参照電圧 内部抵抗 実装系のインダクタ LSI 内部のインダクタ スイッチ抵抗 CDAC デカップリング容量 C s 比較器へ C s 参照電圧周辺の等価回路

40 参照電圧回路のインピーダンスの影響 参照電圧回路のインピーダンスが高く, 周波数特性を持つ場合は ADCの直線性が大きく劣化する 開発の失敗例 k 400 インピーダンス特性 VREFP R b L b C d ADC REFP I-pos / V VREFN R b L b C d REFN 00m 0M 0M 50M 00M 00M 500M G Frequency / Hertz INL [LSB] MS/s, 375Hz ランプ波入力 bit ADC OUTPUT CODE INL [LSB] MS/s, 3.75kHz ランプ波入力 bit ADC OUTPUT CODE

41 40 ADC アナログ回路開発の今後

42 アナログフロントエンド 4 SAR ADC と FB_GM セルでたいていのアナログフロントエンドは合成可能 ) センサーシステム FB_GM セル チョッパー チョッパー SAR ADC センサー 低雑音増幅器 +VGA フィルタ ADC デジタルフィルタ アナログマルチプレクサが必要な場合もある ) 受信システムミキサー FB_GM セル SAR ADC 低雑音増幅器 +VGA I-V 変換器 +Filter VGA フィルタ ADC デジタルフィルタ 周波数シンセ SAR ADC DAC で実現可能 FB_GM セルで合成可能な回路

43 開発中の 65nm SAR ADC 4 現在 65nm CMOS を用いた bit 80MSps SAR ADC を開発中 占有面積は極めて小さく, 消費電力も極めて低い bit, 80MHz, 3.mW, 0.03mm, SNDR>67dB が目標 インターリーブ動作を想定し, 縦を短くしている 0bit, 0MHz, 0.8mW, 0.0mm SNDR> 58 db も可能 40 65nm CMOS 0.03mm 70 m

44 SAR ADC のインパクト :SNR 43 SAR ADC が完成すれば, 同一 ADC コアを用いてほとんどの用途に対応可能 低域 高 SNR: オーバーサンプリングにより対応可能 広帯域 中 SNR: インターリーブにより対応可能 ディザなどで SFDR を向上 SNR (db) ΣADC の SNR vs. BW オーバーサンプリングで SNR 向上 予測性能 インターリーブで帯域向上 BW f b (MHz) B C D E F G H I J K [8] VCO [9] VCO [0]CT []DT []CT [3]CT/DT [4]DT [5]CT [6]CT [7]DT 50 db/hz 43 db/hz 35 db/hz SNR SNR ADC の性能傾向 SNR0 0 log BW SNR 0 :Hz のときの SNR SNR 0: 実力は 40dB 程度 オーバーサンプリング ADC の性能 SNR SNR N N 0 log OSR f s 0 log BW SNR N : ナイキスト周波数のときの SNR

45 SAR ADC のインパクト : 消費電力 SAR ADC を用いてオーバーサンプリングもしくはインターリーブを行っても Σ 型 ADC よりも低消費電力で動作させることができる 電圧制御技術により更に低電力化が可能 今後, 通信用 ΣADC, パイプライン型 ADC は必要か? Power dissipation (mw) 00 0 p d ( mw ) 8 f ( MHz ) オーバーサンプリング b B C D E F G H I J K インターリーブ 44 p d ( mw ).5 f ( MHz ) b 予測性能 オーバーサンプリング + 電圧制御 f b (MHz)

46 プログラマブルアナログ回路の概念 45 アナ デジ混載 LSI において, アナログ回路の開発が困難な状況は改善されておらず, 今後ますます困難になる 微細化 低電圧化により設計難易度が上昇 設計人材の減少 ( 事業選択 集中, リストラ ) 設計コスト削減の要求 (IP 開発費減, 試作回数減 ) プログラマブルアナログ回路による解決 コア回路の種類をできるだけ絞る 微細化 低電圧化に耐えうる回路のみを選抜 レイアウトに規則性のあるもののみを選抜 (RDAC, CDAC,etc) レイアウトを含め設計の大半を自動化する テスト容易化設計も併せて行う

47 プログラマブルアナログ回路の例 Skill 言語を用いてレイアウトを自動生成した RDAC および CDAC 46 最近のアナログ回路は規則性があるものが多い 規則性のある回路は自動生成が容易 RDAC RDAC CDAC CDAC

48 帰還型 g m セルの可能性 47 帰還型 g m セルは線形性が高く, 低電圧化も可能である フィルタ,VGA など各種アナログフロントエンド回路が実現できる GBW は 30GHz 程度を確認 g m g m VDD min VT V eff 0. 6V g m R s g m R s 0.6V 程度の低電圧動作が可能 良好な線形性と高い利得 i v o in 帰還型 g m セル R s g r m ドレイン抵抗 r D は十分高いので, g m の非線形性の影響が小さい D i v 従来の g m セル m Tien-Yu Lo, Cheng-Sheng Kao, and Chung-Chih Hung, "A Gm-C Continuous-time Analog Filter for IEEE 80. a/b/g/n Wireless LANs," ISSCS, vol., pp.4-44, Iasi, Romania, July 007. o in R s g R ソース間抵抗 R s は低いので, g m の非線形性の影響が大きい s Gain (db) CMOS-Gm cell 6 SD&CC Differential Input Voltage(V)

49 適用例 :5 次 CT フィルタ V S V G I tune M M V S トランスコンダクタンス g m (S) g 0.88 m I B AC RESPONSES (db) Vf LP =0MHz Vf LP =0MHz Vf LP =5MHz Vf LP =.5MHz -50 I tune I tune Vf LP =0.7MHz 可変抵抗 バイアス電流 I B (A) 桁の抵抗可変を実現 FREQUENCY (Hz) フィルタ特性 5 次 CT フィルタ 浅田邦博, 松澤昭 アナログ RFCMOS 集積回路設計応用編 培風館

50 まとめ 49 ADCの性能向上が通信システムの性能向上の鍵 フラッシュADCは5~7ビットで数 GSps 程度の要求性能では最も合理的 60GHzミリ波 BBシステムとして今後ともに用いられる パイプライン型 ADCはOpAmpの利得低下や電源電圧低下により設計が困難になった 補間技術を用いた補間パイプライン型 ADCを提案した OpAmp を用いなくてもbit, 400Msps 程度の性能は可能なものと思われる bit SAR ADCを開発中 bit, 80MSps, 3mW, 0.03mm のめどがつきつつある 0.7V 程度の低電圧動作も可能 SAR ADCはノイズモデルや入力端 参照電圧端などの実装周りの回路の過渡特性が課題 SAR ADCにオーバーサンプリングやインターリーブ技術を用いると, 無線通信に必要なほとんどの領域をカバーできる 今後のアナログ ADC 回路開発は微細化, 低電圧化に耐え, 最少面積, 最少消費電力の回路コアを厳選し, 少ないコアの組み合わせと, レイアウトまでの設計自動化で各種要求に迅速に対応できることが大切 最終的にはプログラマブルアナログ回路を目指す

51 GHz 帯までの受信システム? 50 GHz 程度までは単に ADC で変換するだけで実現できる? 帯域は GHz 程度 広帯域 LNA LPF ADC

52 現実はどうか? 5 分解能 8 ビット程度なら GHz の信号が変換できる SNDR [db] 00 ISSCC, VLSI bit, BW=0.GHz D 70 0bit, BW=0.~0.5GHz 60 C B 30 0 A bit, BW=GHz 5bit, BW=GHz BW [MHz]

53 消費電力は? 5 分解能 8 ビット程度で数 W だが, 分解能 6 ビットなら 0mW 程度で GHz の信号が変換できる Power dissipation [mw] D BW [MHz] C A B 5-6 bit 7-8 bit 9-0 bit - bit 3-4 bit

54 タイミングジッタの影響 53 ADC の広帯域化を図るには低ジッタクロックが不可欠 T j =ps ISSCC, VLSI T j : タイミングジッタ (σ) SNDR [db] T j =0.ps BW [MHz]

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

Microsoft PowerPoint - 光ネットワーク産業_ pptx

Microsoft PowerPoint - 光ネットワーク産業_ pptx 光通信の進展に向けた集積回路技術 松澤昭 東京工業大学大学院理工学研究科 内容 1 通信 記録システム技術の発展方向 集積回路技術の最近の進展 超高速 超高周波 CMOS 集積回路の開発例 60GHz CMOS トランシーバ LSI の開発 超高速 ADC について 高速信号伝送と多値化および ADC 性能 2 伝送回路のデータレートは多値化数 N と帯域 BW の積に比例する帯域が固定されると,

More information

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx アナログ技術の発展に向けて 松澤昭 東京工業大学大学院理工学研究科 1 TV, VTRのデジタル化とアナログ技術 今日のアナログ技術の開発 松澤 岡田研究室の紹介 60GHz CMOSトランシーバの開発 ADC, アナログ回路開発の今後 今後の発展に必要なもの まとめ 2 これまでの40 年間日本の民生機器メーカが行ってきたことは電子機器のデジタル化, 小型化 デジタル化に伴い,ADCなどのアナログ技術も発展

More information

Tokyo Tech Template

Tokyo Tech Template 電子システム構築のための LSI 設計とアナログ技術 松澤昭 2014.01.30 東京工業大学大学院理工学研究科 Lab. Tokyo Institute & of Okada Technology Lab. 要旨 1 これまで日本の電子機器メーカが行ってきたことはデジタル化, 小型化 集積回路技術の向上でこれを達成 デジタル化が終了, 他分野の電子化などの発展ストーリが必要 アナログ (SoC)

More information

Microsoft PowerPoint - フォトニックデバイス_matsu_ pptx

Microsoft PowerPoint - フォトニックデバイス_matsu_ pptx 60GHz CMOS トランシーバーの開発 -- 無線を用いた超高速データ伝送の実現 -- 2013/1/23 松澤昭 東京工業大学大学院理工学研究科 内容 1 60GHz CMOS トランシーバの概要 60GHz CMOS RF 回路設計のポイント 超高速 低電力 ADC 2 60GHz CMOS トランシーバの概要 利用モデル 3 ギガビット機器間データ伝送の実現瞬時のデータトランスファーを狙い,

More information

スライド 1

スライド 1 先端アナログ デジタル混載 CMOS 集積回路技術と システムへの応用 東京工業大学大学院理工学研究科 松澤 岡田研究室 宮原正也 Tokyo Institute & of Okada Technology Lab. 発表内容 1 1. 自己紹介 2. 研究歴 3. システム応用アナログ回路開発事例 ミリ波無線通信用高速データコンバータの研究 ヘルスケアシステム用アナログ回路技術の研究 粒子検出器ピクセル読み出し集積回路

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt 超高速 低電力 ADC 松澤昭宮原正也 東京工業大学 28.96 A. 内容 2 はじめに 6bit 超高速 ADCの動向 8bit 以上の超高速 ADCの動向 まとめ 28.96 A. ADC 応用の例 :DVD システム 3 DVD DVDでは再生された信号をAD 変換して イコライザーや誤り訂正をデジタル技術で行うことで信号品質を上げる ワイアレスシステムも基本的には同じ波形等価誤り訂正 Variable

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

電子情報通信学会ワードテンプレート (タイトル)

電子情報通信学会ワードテンプレート (タイトル) 社団法人電子情報通信学会 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS 信学技報 IEICE Technical Report 補間技術とバックグランド補償技術を用いた 8-bit 600-MSps 並列型 ADC に関する研究 白戴和浅田友輔宮原正也松澤昭 東京工業大学電子物理工学専攻 152-8552

More information

Microsoft PowerPoint - HAB_matsu_ ppt [互換モード]

Microsoft PowerPoint - HAB_matsu_ ppt [互換モード] アナログ回路開発 4 年を振り返って ADC を中心とした技術の変遷と今後 松澤昭 東京工業大学 内容 はじめに バイポーラの時代 並列型 (Flash) ADC Bi-CMOS の時代 直並列型 ( 抵抗補間 )ADC CMOS の時代 直並列型 ( 容量補間 )ADC パイプライン ADC CMOS 超高速 ADC ゲート補間 ADC DVD 用アナデジ混載 SoC の実現 SA-ADC の革新

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

スライド 1

スライド 1 アクティブインダクタを用いた コモンモードノイズ低減フィルタ 北海道大学大学院情報科学研究科准教授池辺将之 研究背景 アナログ回路におけるインダクタ 高インダクタ部品は 外付けでサイズが大きい オンチップ用途では インダクタンスとQ 値が低い 開発目標 アクティブインダクタを用いた 小面積 チューナブルな有用回路の実現 ( 本提案 ) 増幅機能も有するコモンモードノイズ低減フィルタ アクティブインダクタ回路

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

Microsoft PowerPoint - クロックジッタ_Handsout.ppt

Microsoft PowerPoint - クロックジッタ_Handsout.ppt クロックジッタの ADC 性能への影響 ヴェリジー株式会社プリンシパル アプリケーション コンサルタント 前田明徳 内容 アナログ デジタル変換器のテストジッタについてジッタと SNR 位相雑音クロック ノイズのスペクトラムへの影響クロックの生成ジッタを低減するにはまとめ 研究の背景 アナログ ディジタル変換器 (ADC) の性能が向上してきた サンプル周波数 : >100MHz 分解能 : > 14ビット

More information

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 応用科学学会 電子回路と計測制御技術 群馬大学大学院工学研究科電気電子工学専攻小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 0277 (30) 1788 FAX: 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp 1 発表内容 アナログ電子回路と計測制御技術 AD 変換器計測制御機器のキーコンポーネント高性能化のためには計測制御技術が必要

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

スライド 1

スライド 1 ミリ波帯における注入同期を用いた I/Q ミスマッチ補償手法 近藤智史, 河合誠太郎, 岡田健一, 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤 岡田研究室 発表内容 2 研究背景 目標 従来技術と課題 注入同期を用いた補償手法 理論検討 測定結果 結論 研究背景 3 Australia Canada, USA Japan Europe 57 Frequency [GHz] 66 59.4

More information

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF 音声通信用ミキサ付き MHz 入力 45kHzFM IF 検波 IC 概要 外形 NJM59 は.8 V~9. Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 45kHz ( 標準 ) としています 発振器 ミキサ IF リミッタアンプ クワドラチャ検波 フィルタアンプに加えノイズ検波回路とノイズコンパレータを内蔵しています V 特徴 低電圧動作.8V~9.V

More information

高速度スイッチングダイオード

高速度スイッチングダイオード は簡単な構成で FM ステレオ送信を実現できる IC です ステレオコンポジット信号を作るステレオ変調器及び FM 信号を空中へ輻射するための FM トランスミッタで構成されています ステレオ変調器は 3kHz 発振器より MAIN SUB 及びパイロット信号からなるコンポジット信号を発生します FM トランスミッタは FM 帯のキャリアを発振させコンポジット信号によって FM 変調をかけ FM 波を空中に輻射します

More information

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術 平成 27 年度電子回路研究会高知市文化プラザかるぽーと Signal-Suppression Feed Forward ( 信号抑制フィードフォワード ) を用いた広帯域 LNA の低消費電力ノイズキャンセル技術 興大樹, 河内智, 李从兵, 神山雅貴, 高橋伸夫 ( 群馬大学 ) 馬場清一 ( 豊橋技術科学大学 ), 壇徹 ( オン セミコンダクター ) 小林春夫 ( 群馬大学 ) Gunma-univ.

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究 CMOS RF 回路 ( アーキテクチャ ) と サンプリング回路の研究 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 974516 滝上征弥 指導教官小林春夫教授 発表内容 1.CMOS RF 回路 (a) 復調部アーキテクチャ (b) VCO 回路 ( 発振器 ) 2. サンプリング回路 (a) オシロスコープ トリガ回路 (b) CMOS コンパレータ回路 目的 無線通信システムの

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

Microsoft PowerPoint - システムWS_matsu_140525_.pptx

Microsoft PowerPoint - システムWS_matsu_140525_.pptx アナログ RF 回路設計技術 の発展に向けて 松澤昭 東京工業大学大学院理工学研究科 内容 RF 回路 ミリ波を用いた超高速データ伝送への挑戦 8Gbpsを達成した60GHz CMOSトランシーバ 300Gbpsを目指して ADC 性能推移 スケーラブルbit SAR ADC 時間領域処理を用いた7bit.GHz ADC PLL レイアウトドリブン設計とプログラマブルアナログ回路技術 新たな電気系の教育

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

オーバーサンプリングによる ADC12 の高分解能

オーバーサンプリングによる ADC12 の高分解能 www.tij.co.jp アプリケーション レポート JAJA088-2007 年 8 月 ADC12 オーバーサンプリングによる高分解能の実現 Harman Grewal ( 日本テキサス インスツルメンツ ( 株 ) 菅原仁 訳 ) MSP430 まえがきこのアプリケーション レポートでは オーバーサンプリング手法により ADコンバータ (ADC) が提供するビット数よりも高い分解能を実現する方法を説明します

More information

<4D F736F F F696E74202D F53434F50458DC58F4990AC89CA95F18D905F C835B83938E9197BF5F938C8D4891E55F89768CA48

<4D F736F F F696E74202D F53434F50458DC58F4990AC89CA95F18D905F C835B83938E9197BF5F938C8D4891E55F89768CA48 081503014 成果発表会資料 Oct 4, 2011 1 次世代超微細 CMOS プロセスに適した高マイクロ波帯デジタル RF 回路技術の研究開発 (081503014) このたびの東日本大震災により被災された皆様方に心よりお見舞い申し上げますとともに 皆さまの安全と一日も早い復旧を心よりお祈り申し上げます 研究代表者益一哉 Kazuya Masu 東京工業大学ソリューション研究機構 Solutions

More information

スライド 1

スライド 1 電気情報通信学会 変調 ADC を用いたモータ駆動用 ディジタル信号処理方式の検討 群馬大学 : 小堀 古谷 山田 佐藤 田浦 森 光野 小林 ( 和 ) 小林 ( 春 ) ルネサステクノロジ : 鴻上 黒岩 黒澤 1 背景 1. 背景と目的 2. 回路構成と提案方式 3. 変調 ADCとディジタル制御方式 4. リア デシメーションフィルタ方式 5. シミュレーション結果 6. 結論 2 Areal

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 1) PLL( 位相ロック ループ ) 回路の基本と各部動作 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK)

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 第 5 章周波数特性 回路が扱える信号の周波数範囲の解析 1 5.1 周波数特性の解析方法 2 周波数特性解析の必要性 利得の周波数特性 増幅回路 ( アナログ回路 ) は 信号の周波数が高くなるほど増幅率が下がり 最後には 増幅しなくなる ディジタル回路は 高い周波数 ( クロック周波数 ) では論理振幅が小さくなり 最後には 不定値しか出力できなくなる 回路がどの周波数まで動作するかによって 回路のスループット

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

高速データ変換

高速データ変換 Application Report JAJA206 V+ R 5 V BIAS Q 6 Q R R 2 Q 2 Q 4 R 4 R 3 Q 3 V BIAS2 Q 5 R 6 V Ω Q V GS + R Q 4 V+ Q 2 Q 3 + V BE V R 2 Q 5 R Op Amp + Q 6 V BE R 3 Q 7 R 4 R 2 A A 2 Buffer 2 ± Ω Ω R G V+ Q.4.2

More information

Microsoft Word - NJM2718_DataJ_v1.doc

Microsoft Word - NJM2718_DataJ_v1.doc 2 回路入り高耐圧単電源オペアンプ 概要 NJM2718 は 2 回路入り単電源高速オペアンプです 動作電圧は 3V~36V と広範囲でスルーレート 9V/µs の高速性と入力オフセット電圧 4mV の特徴をもっており ローサイド電流検出に適しております また 容量性負荷に対して安定しておりますので FET 駆動等のプリドライバ用途やバッファ用途等に適しております 外形 NJM2718E NJM2718V

More information

AD_Vol42_No1_J1

AD_Vol42_No1_J1 A/D Rob Reeder Wayne Green Robert Shillito VOLTAGE dv Δv = Δt dt Δv VOLTAGE Δv 35fs A/D ADC AD9446-1 16 1MHz ADC 1MHz 35fs3dB S/NSNR 15MHz3 1dB 1fs ADC 1ADC ANALOG CONDITIONER INPUT ADC 1. DIGITAL OUTPUT?

More information

Taro-DSノート

Taro-DSノート 3.A/D,D/A 変換 振幅が連続しており, 時間軸方向にも切れ目がない信号をアナログ信号と呼ぶ. これに対して, 振幅が飛び飛びであり, 飛び飛びの時刻にのみ存在し, または からなる数値列で表した信号をディジタル信号と呼ぶ. アナログ信号をディジタル信号に変換する回路が A/D 変換器 (A-D 変換器,ADC) であり, その逆の操作を行う回路が D/A 変換器 (D-A 変換,DAC) である.

More information

S1F77330 シリーズテクニカルマニュアル Rev.2.1

S1F77330 シリーズテクニカルマニュアル Rev.2.1 シリーズテクニカルマニュアル Rev.2.1 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これらに起因する第三者の知的財産権およびその他の権利侵害あるいは損害の発生に対し

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

Microsoft PowerPoint - 受信機.ppt[読み取り専用]

Microsoft PowerPoint - 受信機.ppt[読み取り専用] 受信機 1. 直線受信機 2. スーパヘテロダイン受信機 受信機 1.AM 受信機 DSB 受信機 SSB 受信機 2.FM 受信機 高周波増幅器 アンテナで受信した希望周波数 f s を増幅する 周波数変換回路 混合器と局部発振器からなり 高周波増幅された信号を中間周波数に変換する 局部発振器 スーパヘテロダイン受信機の局部発信周波数は受信周波数より中間周波数だけ高く ( 低く ) 設定する 混合器

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

AN8934FA

AN8934FA BS +QPSK IC BS QPSK IC 4.5MHz L.P.F. 5.7MHz B.P.F. C/N 2 (75Ω ) 1 (75Ω ) PCM IC MN88831 1 (18.432MHz) BS 37 48 (14.25) 1 12.00±0.20 10.00±0.20 36 25 0.65 12 0.30 +0.10 0.05 Seating plane 24 13 (1.425)

More information

Microsoft PowerPoint - 集積デバイス工学7.ppt

Microsoft PowerPoint - 集積デバイス工学7.ppt 集積デバイス工学 (7 問題 追加課題 下のトランジスタが O する電圧範囲を求めよただし T, T - とする >6 問題 P 型 MOS トランジスタについて 正孔の実効移動度 μ.7[m/ s], ゲート長.[μm], ゲート幅 [μm] しきい値電圧 -., 単位面積あたりの酸化膜容量

More information

日置技報-AC/DCカレントセンサ CT6904/CT

日置技報-AC/DCカレントセンサ CT6904/CT 依田元 * 要 旨 は,5 A と 8 A 定格の大電流測定に対応し, MHz (±3 db) の広い測定周波数帯域を実現した高精度電流センサである. スイッチング周波数の高周波化に伴うノイズ環境下での電流測定を考慮し, 新規開発した巻線構造とシールド構造により, 広帯域, かつ, 高い耐ノイズ性を実現できた. ここに製品の概要, 特長, 構成, および特性データについて解説する.. はじめに 自動車,

More information

Microsoft PowerPoint - Presentation.ppt

Microsoft PowerPoint - Presentation.ppt サンプリングミキサを用いたワンセグ放送用 uner フロントエンドの研究 A sudy on he fron end for he uner using sampling mixer in ISDB-T 笹井重徳 小島貴志 馬上崇 李寧 倉科隆 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤研究室 目次 研究背景 サンプリングミキサワンセグ放送 サンプリングミキサ 結論 提案回路の特徴パラメータの決定

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

開発の背景 2020 年の東京オリンピック パラリンピックに向け 第 5 世代移動通信システム (5G) の実用化を目指した研究開発が活発化している この背景には スマートフォンやタブレット端末の普及に伴い 高精細動画サービスなどによるデータ通信量が急激に増大していることや IoT( モノのインター

開発の背景 2020 年の東京オリンピック パラリンピックに向け 第 5 世代移動通信システム (5G) の実用化を目指した研究開発が活発化している この背景には スマートフォンやタブレット端末の普及に伴い 高精細動画サービスなどによるデータ通信量が急激に増大していることや IoT( モノのインター 平成 30 年 6 月 8 日 報道機関各位 東京工業大学広報 社会連携本部長佐藤勲 5G 向けミリ波無線機の小型化に成功 - 安価な集積回路で実現 スマホ搭載に最適 - 要点 世界初の 移相方式による 28GHz 帯 5G 向けフェーズドアレイ無線機を開発 安価で量産可能なシリコン CMOS 集積回路チップにより実現 毎秒 15 ギガビットの無線伝送に成功 概要 東京工業大学工学院電気電子系の岡田健一准教授らは

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

Microsoft Word - TokyoTechPR _Masu_web.doc

Microsoft Word - TokyoTechPR _Masu_web.doc 平成 27 年 2 月 20 日 報道機関各位 東京工業大学広報センター長 大谷 清 高周波無線給電型の超低電力無線機で多値変調を実現 要点 5.8GHz 帯 113μW で動作する無線送信機に 多値変調を適用 直交バックスキャッタリング回路 により 32QAM,2.5M ビット / 秒を実現 無線機は高周波無線給電技術で生成した電源により動作 概要 東京工業大学フロンティア研究機構の益一哉教授と精密工学研究所の伊藤浩之准教授

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着取付可能 アプリケーション例 フィールド側のパルス信号を直流的に絶縁してノイズ対策を行う パルス出力の種類を変換 ( 例

More information

E4438C ESG シリーズベクトル信号発生器概要 80MHz の広帯域内部 IQ 変調帯域 ( 外部 IQ 使用時 160MHz) ~6GHz までの RF 出力 携帯電話フォーマットから無線 LAN まで多種のパーソナリティを用意 RF, IQ 差動出力, Digital IQ 出力 ±0.5

E4438C ESG シリーズベクトル信号発生器概要 80MHz の広帯域内部 IQ 変調帯域 ( 外部 IQ 使用時 160MHz) ~6GHz までの RF 出力 携帯電話フォーマットから無線 LAN まで多種のパーソナリティを用意 RF, IQ 差動出力, Digital IQ 出力 ±0.5 Agilent Technologies E4438C ESG シリーズベクトル信号発生器概要 80MHz の広帯域内部 IQ 変調帯域 ( 外部 IQ 使用時 160MHz) ~6GHz までの RF 出力 携帯電話フォーマットから無線 LAN まで多種のパーソナリティを用意 RF, IQ 差動出力, Digital IQ 出力 ±0.5dB レベル確度 フェージングシミュレーション Option404

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output 10-1 10.1 降圧形 昇圧形 SIDO 電源 (1)

More information

電子回路I_6.ppt

電子回路I_6.ppt 電子回路 Ⅰ 第 6 回 電子回路 Ⅰ 7 講義内容. 半導体素子 ( ダイオードとトランジスタ ). 基本回路 3. 増幅回路 バイポーラトランジスタの パラメータと小信号等価回路 二端子対回路 パラメータ 小信号等価回路 FET(MOFET) の基本増幅回路と等価回路 MOFET の基本増幅回路 MOFET の小信号等価回路 電子回路 Ⅰ 7 増幅回路の入出力インピーダンス 増幅度 ( 利得 )

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

1

1 1 2 3 4 5 RESISTOR TUNABLE FILTER 6 LR-SERIES 1 1 2 3 4 5 6 7.1.1 1 1 1 RF1 CF1 RF2 CF2 INPUT 14 15 16 17 18 19 2 21 22 23 24 25 26 27 28 29 3 9 8 7 6 5 4 3 2 1 84 83 82 81 8 79 78 77 R R CF CF 56k R R

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output H28 群馬大学大学院講義パワーエレクトロニクス工学論

More information

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度 3 端子正定電圧電源 概要 NJM7800 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ ICです 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形 TO-220F, TO-252 NJM7800FA

More information

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o 小型スタンバイ機能付高精度正電圧レギュレータ 概要 NJU7241 シリーズは, 出力電圧精度 ±2% を実現したスタンバイ機能付の低消費電流正電圧レギュレータ IC で, 高精度基準電圧源, 誤差増幅器, 制御トランジスタ, 出力電圧設定用抵抗及び短絡保護回路等で構成されています 出力電圧は内部で固定されており, 下記バージョンがあります また, 小型パッケージに搭載され, 高出力でありながらリップル除去比が高く,

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E >

<4D F736F F F696E74202D2091E6824F82518FCD E838B C68CEB82E894AD90B B2E > 目次 参考文献安達著 : 通信システム工学, 朝倉書店,7 年. ディジタル変調. ディジタル伝送系モデル 3. 符号判定誤り確率 4. 元対称通信路 安達 : コミュニケーション符号理論 安達 : コミュニケーション符号理論 変調とは?. ディジタル変調 基底帯域 ( ベースバンド ) 伝送の信号波形は零周波数付近のスペクトルを持っている. しかし, 現実の大部分の通信路は零周波数付近を殆ど伝送することができない帯域通信路とみなされる.

More information

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt

Microsoft PowerPoint - PCIe_Seminar_LeCroyJapan.ppt PCI Express の物理層 信号品質評価ソリューション レクロイ ジャパン株式会社プロダクト マーケティング辻嘉樹 http://www.lecroy.com/japan/ 目次 PCI Expressの仕様 PCI Expressの物理層の特徴 PCI Express 測定の諸条件 PCI Expressのコンプライアンス試験 補足 1 目次 PCI Expressの仕様 PCI Expressの物理層の特徴

More information

山下・大畠研究室 チップギャラリー

山下・大畠研究室 チップギャラリー 山下 大畠研究室 チップギャラリー Since 2004 2.1 mm 6-bit Flash ADC Phase I differential voltage (V) 0.8 0.6 0.4 0.2 0-0.2-0.4-0.6-0.8 fs=1 GHz, fin=40 MHz Input analog signal ADC output 0 5 10 15 20 25 30 35 time (sample)

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

電子回路I_8.ppt

電子回路I_8.ppt 電子回路 Ⅰ 第 8 回 電子回路 Ⅰ 9 1 講義内容 1. 半導体素子 ( ダイオードとトランジスタ ) 2. 基本回路 3. 増幅回路 小信号増幅回路 (1) 結合増幅回路 電子回路 Ⅰ 9 2 増幅の原理 増幅度 ( 利得 ) 信号源 増幅回路 負荷 電源 電子回路 Ⅰ 9 3 増幅度と利得 ii io vi 増幅回路 vo 増幅度 v P o o o A v =,Ai =,Ap = = vi

More information

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc)

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc) QEX 11 月掲載記事低価格スペアナの周波数拡張アダプタ ワンチップの GHz 帯シンセサイザ IC を応用して ローカル信号源とミキサーを一体化させた周波数拡張アダプタを試作しました RIGOL DSA815TG などの低価格スペアナで 6.5GHz までのフィルタやアンプの通過特性 スペクトルの測定を可能にします 周波数拡張アダプタの設計 製作 評価のレポートをいたします 1. ブロック図と主な仕様

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

MUSES01 2 回路入り J-FET 入力高音質オペアンプ ~ 人の感性に響く音を追求 ~ 概要 MUSES01 は オーディオ用として特別の配慮を施し 音質向上を図った 2 回路入り JFET 入力高音質オペアンプです 低雑音 高利得帯域 低歪率を特徴とし オーディオ用プリアンプ アクティブフ

MUSES01 2 回路入り J-FET 入力高音質オペアンプ ~ 人の感性に響く音を追求 ~ 概要 MUSES01 は オーディオ用として特別の配慮を施し 音質向上を図った 2 回路入り JFET 入力高音質オペアンプです 低雑音 高利得帯域 低歪率を特徴とし オーディオ用プリアンプ アクティブフ 回路入り J-FET 入力高音質オペアンプ ~ 人の感性に響く音を追求 ~ 概要 は オーディオ用として特別の配慮を施し 音質向上を図った 回路入り JFET 入力高音質オペアンプです 低雑音 高利得帯域 低歪率を特徴とし オーディオ用プリアンプ アクティブフィルター ラインアンプ等に最適です 外形 特徴 動作電源電圧 Vopr= ~ ±V 低雑音 9.nV/ Hz typ. @f=khz 入力オフセット電圧

More information

AN6591FJM

AN6591FJM IC AN6591FJM PHS, PLL IC AN6591FJMPHSIF PLL IC QFN (Quad flat non-leaded PKG) (0.63) 34 44 R0.30 6.20±0.10 (6.00) 33 23 1 11 (0.63) 22 12 3-C 0.50 (6.00) 6.20±0.10 0.20±0.10 0.80 max Unit : mm, PLL,, APC

More information

フォト IC ダイオード S SB S CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2

フォト IC ダイオード S SB S CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2 S9066-211SB S9067-201CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2つの受光部の出力を減算し ほぼ可視光域にのみ感度をもたせています また従来品に比べ 同一照度における異なる色温度の光源に対しての出力変化を低減しています

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ 2

アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ 2 The World Leader in High Performance Signal Processing Solutions FPGA 時代の高速データ コンバータのクロッキング アナログ デバイセズ株式会社 アナログ デバイセズ株式会社石井聡 アジェンダ ミックスド シグナルのクロッキングの問題点 クロック ジッタの考え方と時間ドメインと周波数ドメイン ミックスド シグナルでのシステム クロッキングに対する適切な設計アプローチ

More information

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周 トランジスタ増幅回路設計入門 pyrgt y Km Ksaka 005..06. 等価回路についてトランジスタの動作は図 のように非線形なので, その動作を簡単な数式で表すことができない しかし, アナログ信号を扱う回路では, 特性グラフのの直線部分に動作点を置くので線形のパラメータにより, その動作を簡単な数式 ( 一次式 ) で表すことができる 図. パラメータトランジスタの各静特性の直線部分の傾きを数値として特性を表したものが

More information

株式会社xx御中

株式会社xx御中 SAW 共振子による低位相雑音発振器 と次世代携帯電話への応用 電子情報通信学会春季総合大会於名城大学 アール エフ アーキテクチャ株式会社 森榮真一 2017 年 3 月 26 日 サマリー : 次世代携帯電話向けローカル発振器の提案と検証 次世代携帯電話通信においては 更なる高速 大容量化が期待されている 今後 携帯電回線高速化の実現のために 利用電波帯域は数十 GHz 帯への移行が予測される

More information

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討 第 回電気学会東京支部栃木 群馬支所合同研究発表会 ETT--7 遅延デジタルフィルタの分散型積和演算回路を用いた FPGA 実装の検討 易茹 * 立岩武徳 ( 群馬大学 ) 浅見幸司 ( 株式会社アドバンテスト ) 小林春夫 ( 群馬大学 ) 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 平成 17 年度前期大学院 情報デバイス工学特論 第 9 回 中里和郎 基本 CMOS アナログ回路 (2) 今回の講義内容は 谷口研二 :LS 設計者のための CMOS アナログ回路入門 CQ 出版 2005 の第 6 章ー 9 章 (pp. 99-158) の内容に従っている 講義では谷口先生のプレゼンテーション資料も使用 ソース接地増幅回路の入力許容範囲 V B M 2 M 1 M 2 V in

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

オペアンプの容量負荷による発振について

オペアンプの容量負荷による発振について Alicatin Nte オペアンプシリーズ オペアンプの容量負荷による発振について 目次 :. オペアンプの周波数特性について 2. 位相遅れと発振について 3. オペアンプの位相遅れの原因 4. 安定性の確認方法 ( 増幅回路 ) 5. 安定性の確認方法 ( 全帰還回路 / ボルテージフォロア ) 6. 安定性の確認方法まとめ 7. 容量負荷による発振の対策方法 ( 出力分離抵抗 ) 8. 容量負荷による発振の対策方法

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

SICE東北支部研究集会資料(2014年)

SICE東北支部研究集会資料(2014年) 計測自動制御学会東北支部第 291 回研究集会 (2014 年 10 月 23 日 ) 資料番号 291-12 断熱回路技術を用いた 低消費デジタル PWM 制御回路の設計 Design of low-power digital PWM circuit with adiabatic dynamic CMOS logic 鈴木暖 ( 山形大学 ), 阿部啄也 ( 山形大学 ), 澤田直樹 ( 山形大学

More information

スライド タイトルなし

スライド タイトルなし (LNA) (LNA) (PA) ASK FSK PSK BER Bit Error Rate/ratio QPSK GMSK QAM OFDM ASK FSK PSK ASK(Amplitude-shift keying) e( t) = S( t)cos( ω t + θ ) c AM S(t) [+1,0] [+1/2, 1/2] 1 1 2 S(t) 0 1 2 e(t) C O B A E

More information

Microsoft PowerPoint - machida0206

Microsoft PowerPoint - machida0206 広帯域制御のためのフォトメカニカルアクチュエータの開発とその応用 東京大学新領域創成科学研究科物質系専攻三尾研究室 M2 町田幸介 重力波研究交流会 (2009 2/6) 1 発表の流れ 実験の背景 広帯域制御のためのアクチュエータ 実験の目的 実験 電磁アクチュエータの作製 電磁アクチュエータの評価 電磁アクチュエータの応用 ( 位相雑音補償と共振器長制御 ) まとめ 2 広帯域制御のためのアクチュエータ

More information

第 11 回 R, C, L で構成される回路その 3 + SPICE 演習 目標 : SPICE シミュレーションを使ってみる LR 回路の特性 C と L の両方を含む回路 共振回路 今回は講義中に SPICE シミュレーションの演習を併せて行う これまでの RC,CR 回路に加え,L と R

第 11 回 R, C, L で構成される回路その 3 + SPICE 演習 目標 : SPICE シミュレーションを使ってみる LR 回路の特性 C と L の両方を含む回路 共振回路 今回は講義中に SPICE シミュレーションの演習を併せて行う これまでの RC,CR 回路に加え,L と R 第 回,, で構成される回路その + SPIE 演習 目標 : SPIE シミュレーションを使ってみる 回路の特性 と の両方を含む回路 共振回路 今回は講義中に SPIE シミュレーションの演習を併せて行う これまでの, 回路に加え, と を組み合わせた回路, と の両方を含む回路について, 周波数応答の式を導出し, シミュレーションにより動作を確認する 直列回路 演習問題 [] インダクタと抵抗による

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション () 増幅回路の周波数特性 Frequency characteristic of amplifier circuit (2) 増幅回路の周波数特性 Frequency characteristic of amplifier circuit MOS トランジスタの高周波モデル High-frequency model for MOS FET ゲート酸化膜は薄いので G-S, G-D 間に静電容量が生じる

More information

<8AEE B43979D985F F196DA C8E323893FA>

<8AEE B43979D985F F196DA C8E323893FA> 基礎電気理論 4 回目 月 8 日 ( 月 ) 共振回路, 電力教科書 4 ページから 4 ページ 期末試験の日程, 教室 試験日 : 月 4 日 ( 月 ) 時限 教室 :B-4 試験範囲 : 教科書 4ページまでの予定 http://ir.cs.yamanashi.ac.jp/~ysuzuki/kisodenki/ 特別試験 ( 予定 ) 月 5 日 ( 水 ) 学習日 月 6 日 ( 木 )

More information

.a.._4..+.C..pdf.p.p65

.a.._4..+.C..pdf.p.p65 NO アンテナ系の点検 SWR は十分に低いか? YES 止まったか? 止まったか? NO YES NO NO YES 終了 ダミーロード運用でも発生するか? YES 無線の電源ライン 接続ケーブルの引き回しおよび長さの変更 NO YES アンテナどうしを離す 止まったか? NO NO 止まったか? YES 止まったか? YES YES NO TV に HPF ( バランスタイプ ) を取り付ける

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information