Microsoft PowerPoint - IEICE_Milli_matsu_ ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - IEICE_Milli_matsu_ ppt"

Transcription

1 超高速 低電力 ADC 松澤昭宮原正也 東京工業大学 A.

2 内容 2 はじめに 6bit 超高速 ADCの動向 8bit 以上の超高速 ADCの動向 まとめ A.

3 ADC 応用の例 :DVD システム 3 DVD DVDでは再生された信号をAD 変換して イコライザーや誤り訂正をデジタル技術で行うことで信号品質を上げる ワイアレスシステムも基本的には同じ波形等価誤り訂正 Variable Variable Gain Gain Amp. Amp. Analog Analog Filter Filter A to to D Converter Converter 7b 4MHz Digital Digital FIR FIR Filter Filter Viterbi Viterbi Error Error Correction Correction Data Out Data In (Erroneous) Pickup signal Voltage Voltage Controlled Controlled Oscillator Oscillator Clock Clock Recovery Recovery Analog circuit Digital circuit Data Out (No error) A.

4 DVD 用超高速 ADC の開発 4 超高速 ADC の民生機器応用には CMOS 化と低電力 低コスト化が不可欠であった 9 年当時 世界最高速の 6b ADC 6b, GHz ADC 2W,.5um Bipolar A., SSCC 99 当時 世界最高速の CMOS ADC K. Sushihara and A., ISSCC 2. Reported Pd of CMOS ADCs 6b, 8MHz ADC 4mW, 2mm 2.25umCMOS 高速性を維持し 電力を /8 に下げた A. Pd/2 N [mw] K. Sushihara and A., ISSCC 22. 7b, 4MHz ADC 5mW,.3mm 2.8umCMOS. mw/gsps This Work Conversion rate [xmsps] mw/gsps order down

5 アナログ デジタル混載 SoC 5 コストダウンと高速化のためにはADCなどのアナログ回路を内蔵する必要がある 9nm or 45nmなどの微細 CMOSの使用 V 動作が可能小面積低電力 DVD 用アナ デジ混載 SoC.3um, Cu 6Layer, 24MTr CPU System Controller CPU 2 Front-End Analog FE +Digital R/C PRML VCO Read ADC Chann Servo DSP el Gm-C Filter AV Decode Processor Back -End IO Processor Pixel Operation Processor Analog Front End A. Okamoto, et al., ISSCC 23

6 ミリ波に必要な ADC の帯域と変換周波数 6 64Mbps 6QAM 信号帯域 : 2MHz ADC:4Msps(2 サンプル / シンボル ) 8~bit DAC:8Msps(4 サンプル / シンボル ) ~2bit 64MHz.25 = 2MHz 4 G bps 64QAM 信号帯域 : 2MHz ADC:4Msps(2 サンプル / シンボル ) ~ 2bit DAC:8Msps(4 サンプル / シンボル ) 2bit 2.4Gbps QPSK 信号帯域 :.5GHz ADC:3. Gsps(2 サンプル / シンボル ) 5~6bit DAC:6. Gsps(4 サンプル / シンボル ) 7~9bit Gbps 6QAM 信号帯域 : 3. MHz ADC:6. Gsps(2 サンプル / シンボル )8~ bit DAC:2. Gsps(4 サンプル / シンボル ) ~2bit A.

7 超高速 6b ADC 開発状況 7 従来は FoM は数 pj 程度が常識であったが 最近は 5fJ という極限の低電力化が進行 変換周波数 : Flash: 4GHz, Fold:.8GHz FoM: 8pJ 4fJ 5fJ (GHz, 2mW) 面積 :.2mm 2 ~.2mm 2 FoM 消費電力 = 変換周波数 実効変換ステップ No. Res. Fs (GS/s) Pd (mw) FoM (pj) Area (mm 2 ) Tech. (nm) Architecture Feature Publish Flash VLSI Flash Ref CAL. ESSCIRC Flash Averaging VLSI Flash Ref CAL. VLSI Flash Averasing VLSI ? 9 Pipeline x Interleaving VLSI b-SAR 2b ISSCC Flash R-CAL VLSI b_fold+flash R-CAL ISSCC 8 は今回紹介するもの A.

8 Flash ADC の特徴 8 高速 低分解能に最も適した変換方式と言われている コンパレータの精度と応答速度が ADC の性能を決定. ミスマッチによるオフセットばらつき [ 要求 ].2LSB 以下 [ 実際 ]LSB 以上 2. 応答速度 微細化によりスケーリング VFS V = V q =6mV, ミスマッチ <3mV Comparator Array 6b: 63 個 q 2 N V Probability σ ΔV V in Ideal Actual A.

9 Flash 型 (No.3) 9 K. Deguchi, et al., A 6-bit 3.5GS/s.9V 98mW Flash ADC in 9nm CMOS. VLSI circuits symposium, pp.64-65, June, 27. Renesas Flash タイプ 抵抗とアンプによるアベレージングにより精度を上げている A.

10 比較器 手堅い貫通電流が流れるものを使用している 究極の低電力を実現するには貫通電流の流れないダイナミック型の使用が不可欠 A.

11 性能 消費電力は最小クラスだが 95pJ はまだ大きい GHz 換算で 28mW 程度 SNDR=3dB は 5bit 相当 A.

12 Folding Flash ADC, (No.9) 2 b 折れ返すと半分の比較器になる 低電力小面積 折れ返し回路 差動入力なので極性を反転するだけで折り返せる B. Verbruggen, J. Craninckx, M. Kuijk, P. Wambacq, G, Van der Plas, A 2.2 mw 5b,.75GS/s Folding Flash ADC in 9nm Digital CMOS, Dig. of Tech. papers, pp , ISSCC 28. IMEC ベルギー 抵抗ラダーを用いたオフセット電圧補償回路参照電圧形成回路 A.

13 オフセット補償付きダイナミック比較器 3 比較器はダイナミック型が主流に 低電力オフセット補償回路によりオフセット電圧を低減するまた 参照電圧を形成する ラッチ回路 V DD out+ clock out- in+ incal+ cal- オフセット電圧制御 Tr A.

14 比較器の設計 4 オフセットばらつきを /7 以下に低減する技術が必要 低消費電力 小面積 最小サイズの素子で構成コンパレータの精度 オフセット補償技術 25 V o ffset ( σ ) LW P comp LW 9nm CMOS Fs = GS/s 35 ENOB Vdd =.V オフセットばらつき [mv] オフセットばらつき [mv] オフセット消費電力 トランジスタ サイズ LW [μm 2 ] 消費電力 [uw] A.

15 比較器回路の動向 5 比較器はダイナミック回路で構成され 定常電流が流れないようにすることができる Comp INp INn VDD Dynamic comparators use the fast voltage fall depended on input voltage difference Fast voltage fall OUTn OUTp V b FN INP CLK CLK GND INN FP V. Giannini, P. Nuzzo, V. Chironi, A. Baschirotto, G. van der Plas, and J. Craninckx, An 82uW 9b 4MS/s Noise Tolerant Dynamic-SAR ADC in 9nm Digital CMOS, IEEE ISSCC 28, Dig. of Tech. Papers, pp , Feb. 28. V b FN FP SP CLK SN SP CLK M. van Elzakker, Ed van Tujil, P. Geraedts, D. Schinkel, E. Klumperink, B.Nauta, A.9uW 4.4fJ/Conversion-step b MS/s Charge- Redistribution ADC, IEEE ISSCC 28, Dig. of Tech. Papers, pp , Feb. 28. SN A.

16 性能 6 FoM=5fJ/conv. Step は驚異的低電力 殆ど限界性能 [db] 4 SNDR SFDR 3 面積は小さいが どこまで含めているか不明 Input frequency [MHz] Technology INL / DNL ENOB ERBW Fs BER Power Area FoM V 9nm digital CMOS.28 /.29LSB 4.7b 2.2mW.7mm 2 5fJ/conversion step A.

17 8bit 以上の超高速 ADC 7 変換周波数 : GHz 程度は可能に FoM:.2 pj 3 fj (bit GHz 5mW 程度 ) 面積 : 3.5 mm 2 ~.2mm 2 bit GHz 5mW 程度までの更なる低電力化が必要 No. Res. Fs (GS/s) Pd (mw) FoM (pj) Area (mm 2 ) Tech. (nm) Architecture Feature Publish Pipeline 4x Interleaving ISSCC Pipeline 4x Interleaving ISSCC SAR 6x Interleaving VLSI Two-step ISSCC Pipeline 2x Interleaving VLSI A.

18 パイプライン型 ADC 8 パイプライン型 ADCは折り返した入出力特性を有しパイプライン動作によりA/D 変換を行う st stage 2nd stage S/H 回路 C f C f スイッチトキャパシタ増幅器 Op amp Op amp C s C s CMP DAC CMP DAC オフセット電圧発生 DAC 入出力特性 比較器 V DAC (+V ref,, -V ref ) st stage C f 2nd stage 利得は通常 正確に 2 倍 C s =C f =C 出力電圧 stage C s -.5 = 2 V V + 2 V,, A. V out in ref ref 入力電圧

19 bit, 8MHz ADC, (No.2) 9 パイプライン型 ADC を 4 つインターリーブで動作 Reference Voltage Buffer Vin T/H Digital Error Correction 2 2 Stage A Stage A2 _ + Stage B V BE2 Sub-ADC and Sub-ADC2 + Stage V B2 BE2 2 2 Digital Error Correction _ Sub-ADC Calibration Sub-ADC2 Calibration MUX Dout ch あたり 2MHz で動作している 消費電力は大きいが bit ( 実質は 9.5bit) は良好 C.C. Hsu, F. C. Huang, C. Y. Shih, C. C. Huang, Y. H. Lin, C. C. Lee, B. Razavi, An b 8MS/s Time- Interleaved ADC with Digital Background Calibration, Dig. of Tech. Papers, pp , ISSCC 27. Real Tech. Taiwan Clock Generator Sub-ADC and Sub-ADC3 Technology Resolution Conversion Rate 9nm CMOS b 8MS/s Supply Voltage.3V (.5V for T/H) Input Range Vpp differential Dynamic Range 66dB DNL/INL <.5LSB / ±.6LSB ENOB 9.33b (F in = 5MHz) SNR 59.dB (F in =5MHz) SNDR 57.9dB (F in =5MHz) Jitter <.43ps Active Area.4mm 2 Power (Analog / Digital + I/O) 35mW A.

20 キャリブレーション回路 2 インターリーブの場合 チャネル間ミスマッチ (V off, G, タイミング ) を合わせる必要がある 4ch 構成 バックグラウンド CAL LMS V in T/H Sub-ADC Calibration Z - u e bi - V V i Sub-ADC Sub-ADC2 Sub-ADC3 clock (8MHz) /4 ゲイン CAL Correction Calibration Calibration Calibration MUX D o V in T/H Sub-ADC i オフセット CAL b i - - a Correction i Acc&Avg Z -. Acc&Avg Acc&Avg LMS u e ai - V i V + - V ref_cal T/H Sub-ADCi - b i - a i Z -. Acc&Avg LMS u e i - V i V V GND T/H Sub-ADCi Z - N /N N N n ctl - b i A.

21 8bit 8MHz ADC (No.5) 2 2.8bit/stage のパイプラインを2 段 +4bit Flash ADCを2xインターリーブパイプライン型 ADCを8MHz (4MHz) で高速動作させた W. H. Tu and T. H. Kang, A.2V 3mW 8b 8MS/s Time-Interleaved ADC in 65nm CMOS, Dig. of Tech. Papers, pp.72-73, VLSI Ckt. Symp. 28. Media Tech. Taiwan A.

22 性能 22 8bit 程度ならば パイプライン型 ADC でも 8MS/s くらいはいけることを示した (bit/ch の最高速は 2MHz 程度である ) FoM=.28pJ/conv. は 8bit として最小レベルである 65nm,.2V でも 8bit パイプライン用 OP アンプが実現可能である A.

23 SA ( 逐次比較型 )ADC 23 SA ADC は OP アンプを用いず スイッチ 容量 比較器のみで演算する 微細化に伴う OP アンプ課題の影響を受けない 微細化に適している 定常電流が流れないので低電力である 欠点 :N ビット変換に約 (N+2) クロック必要なため変換速度が遅くなる 微細化により高速化 低電力化を図る Binary search algorithm V DAC V in 2 V FS + 4 V FS 2 V FS + V FS + V 8 6 FS C C 2 C 4 C 8 C 6 C 6 2 V FS 2 V FS + 8 V FS CMP in b = b = b 2 = b = b 3 = b = b 3 = b 4 = b 2 = b 2 = A.

24 SA ADC の性能 24 SA ADC は高分解能から高速まですべての領域で開発が進められている FoM は 3 年間で /2 まで低下した FoM = 消費電力変換周波数 実効変換ステップ Power[mW]... SAR ADC Power vs Sampling Freq.. Sampling Freq.[MSps] 3 年間で FoM は /2 に減少 ISSCC28 4bit 2bit FoM[fJ/conv.step] -9bit 7-5bit. /2 Courtesy Y. Kuramochi FoM Year A.

25 bit,.35ghz ADC (No.3) 25 SAR は最小電力で動作するので これをマルチに用い インターリーブ動作させることで高速動作を狙ったものが多くなった 低電力特性に優れた SARADC を用いた直並列型 ADC を 6 個並列に並べた それぞれは 86MHz で動作している S. M. Louwsma, et al., A.35GS/s, b, 75mW Time-Interleaved AD Converter in.3um CMOS, VLSI circuits symposium, pp.62-63, June, 27. Twente 大, NXP A.

26 性能 26 実効分解能 :7.5bit 程度で課題が多い消費電力 :75 mw, FoM=.6 pj は未だ大きい専有面積 :.6 mm 2 は.3um を考えると小さいが IP としては大きすぎる インターリーブ動作は SNR が劣化しやすく 面積が大きくなりやすい したがって これが本命かどうかは分からない 実効的には 7.5bit 相当帯域は広い A.

27 開発中の超高速6bit, 8bit ADC 27 現在開発中の超高速DACは並列型の改良なので 速度は出しやすいが 消費電力 面積は更に改善の必要があり 次期バージョンでは超低電力を目指す 6bit, 4GS/s, 6mW, FoM=4fJ/conv..2mm2 45μm 未発表につき 詳細は省略いたします 9nm CMOS 8bit, GS/s, 5mW, FoM=83fJ/conv..9 mm2 Power Line & Decoupling Cap 5um 45μm Encoder & decouple Calibration logic Analog Core CLK GEN CLK Buffer 9nm CMOS Cap (dead space) A.

28 比較器オフセット電圧の低減技術 28 提案手法によりオフセット電圧が 3.7 mv から.69mV に低減可能であることを実証 未発表につき 詳細は省略いたします VDD=. V, f CLK = 25 MHz, N= Min/Max : -3.9/+2.9 mv CAL 前 Calibration ON Calibration OFF CAL 前 2 - CAL 後 V offset ( σ ) =.69 mv CAL 後 -2-3 Min/Max : -38.4/+32.8 mv V offset ( σ ) = 3.7 mv Comparator Number 2 3 Probability [%] A.

29 まとめ 29 ミリ波実用化と ADC 波形等化や誤り訂正など 感度やデータレートを上げるためにデジタル信号処理技術が不可欠 そのためには ADC が必要 Gbps レベルの広帯域 6bit, 3GS/s 程度の ADC 64QAM 程度の多値化 bit, GS/s 程度の ADC オンチップ化のための低電力化 小面積化 65nm 程度の低電圧 (V) 微細 CMOS を使用 技術の現状と今後 6b 3GS/s ADC 現状は mw 程度だが mw が可能か? Folding もしくは 2 段階の Flash が有望か? b GHz ADC 現状は mw 程度だが 更なる電力削減が可能 変換方式は SAR-Interleaving, Pipeline, 直並列が候補 過度のインターリーブは性能劣化 面積増 消費電力増を招く 回路技術 比較器はダイナミック型 + オフセット補償技術が主流に インターリーブ動作では高度なキャリブレーション技術が必須 A.

30 トランシーバと ADC/DAC 3 ベースバンドはデジタル化 ADC/DAC が必要になった RX Mixer RF filter LNA X Filter AGC ADC Frequency Synthesizer アナログ BB 回路部 DSP TX PA Filter X Mixer Filter DAC A.

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

スライド タイトルなし

スライド タイトルなし 007.08.8 A. Matsuzawa,Titech A/D A/D A/D A/D OP 007.08.8 A. Matsuzawa,Titech A/D 007.08.8 A. Matsuzawa,Titech 3 AD 0G onersion frequency (Hz) G 00M 0M M 00k Flash Successie approximation Pipeline Sub-range

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

電子情報通信学会ワードテンプレート (タイトル)

電子情報通信学会ワードテンプレート (タイトル) 社団法人電子情報通信学会 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS 信学技報 IEICE Technical Report 補間技術とバックグランド補償技術を用いた 8-bit 600-MSps 並列型 ADC に関する研究 白戴和浅田友輔宮原正也松澤昭 東京工業大学電子物理工学専攻 152-8552

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

Microsoft PowerPoint - IEICE_matsu_ pptx

Microsoft PowerPoint - IEICE_matsu_ pptx アナログ RF CMOS 集積回路技術の 現状と今後の動向 -- ADC などのベースバンド回路を中心に -- 松澤昭 東京工業大学大学院理工学研究科 内容 60GHz ミリ波通信用 ADC 補間パイプライン型 ADCの提案と開発 ビット SAR ADCの開発 SAR ADCの開発課題 アナログ ADC 開発の今後 60GHz ミリ波通信用 ADC 従来のミリ波システム 3 006 年には GaAs

More information

Microsoft PowerPoint - 光ネットワーク産業_ pptx

Microsoft PowerPoint - 光ネットワーク産業_ pptx 光通信の進展に向けた集積回路技術 松澤昭 東京工業大学大学院理工学研究科 内容 1 通信 記録システム技術の発展方向 集積回路技術の最近の進展 超高速 超高周波 CMOS 集積回路の開発例 60GHz CMOS トランシーバ LSI の開発 超高速 ADC について 高速信号伝送と多値化および ADC 性能 2 伝送回路のデータレートは多値化数 N と帯域 BW の積に比例する帯域が固定されると,

More information

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 応用科学学会 電子回路と計測制御技術 群馬大学大学院工学研究科電気電子工学専攻小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 0277 (30) 1788 FAX: 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp 1 発表内容 アナログ電子回路と計測制御技術 AD 変換器計測制御機器のキーコンポーネント高性能化のためには計測制御技術が必要

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx アナログ技術の発展に向けて 松澤昭 東京工業大学大学院理工学研究科 1 TV, VTRのデジタル化とアナログ技術 今日のアナログ技術の開発 松澤 岡田研究室の紹介 60GHz CMOSトランシーバの開発 ADC, アナログ回路開発の今後 今後の発展に必要なもの まとめ 2 これまでの40 年間日本の民生機器メーカが行ってきたことは電子機器のデジタル化, 小型化 デジタル化に伴い,ADCなどのアナログ技術も発展

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

Microsoft PowerPoint - HAB_matsu_ ppt [互換モード]

Microsoft PowerPoint - HAB_matsu_ ppt [互換モード] アナログ回路開発 4 年を振り返って ADC を中心とした技術の変遷と今後 松澤昭 東京工業大学 内容 はじめに バイポーラの時代 並列型 (Flash) ADC Bi-CMOS の時代 直並列型 ( 抵抗補間 )ADC CMOS の時代 直並列型 ( 容量補間 )ADC パイプライン ADC CMOS 超高速 ADC ゲート補間 ADC DVD 用アナデジ混載 SoC の実現 SA-ADC の革新

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

Microsoft PowerPoint - クロックジッタ_Handsout.ppt

Microsoft PowerPoint - クロックジッタ_Handsout.ppt クロックジッタの ADC 性能への影響 ヴェリジー株式会社プリンシパル アプリケーション コンサルタント 前田明徳 内容 アナログ デジタル変換器のテストジッタについてジッタと SNR 位相雑音クロック ノイズのスペクトラムへの影響クロックの生成ジッタを低減するにはまとめ 研究の背景 アナログ ディジタル変換器 (ADC) の性能が向上してきた サンプル周波数 : >100MHz 分解能 : > 14ビット

More information

スライド 1

スライド 1 アクティブインダクタを用いた コモンモードノイズ低減フィルタ 北海道大学大学院情報科学研究科准教授池辺将之 研究背景 アナログ回路におけるインダクタ 高インダクタ部品は 外付けでサイズが大きい オンチップ用途では インダクタンスとQ 値が低い 開発目標 アクティブインダクタを用いた 小面積 チューナブルな有用回路の実現 ( 本提案 ) 増幅機能も有するコモンモードノイズ低減フィルタ アクティブインダクタ回路

More information

高速データ変換

高速データ変換 Application Report JAJA206 V+ R 5 V BIAS Q 6 Q R R 2 Q 2 Q 4 R 4 R 3 Q 3 V BIAS2 Q 5 R 6 V Ω Q V GS + R Q 4 V+ Q 2 Q 3 + V BE V R 2 Q 5 R Op Amp + Q 6 V BE R 3 Q 7 R 4 R 2 A A 2 Buffer 2 ± Ω Ω R G V+ Q.4.2

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

AD_Vol42_No1_J1

AD_Vol42_No1_J1 A/D Rob Reeder Wayne Green Robert Shillito VOLTAGE dv Δv = Δt dt Δv VOLTAGE Δv 35fs A/D ADC AD9446-1 16 1MHz ADC 1MHz 35fs3dB S/NSNR 15MHz3 1dB 1fs ADC 1ADC ANALOG CONDITIONER INPUT ADC 1. DIGITAL OUTPUT?

More information

スライド 1

スライド 1 先端アナログ デジタル混載 CMOS 集積回路技術と システムへの応用 東京工業大学大学院理工学研究科 松澤 岡田研究室 宮原正也 Tokyo Institute & of Okada Technology Lab. 発表内容 1 1. 自己紹介 2. 研究歴 3. システム応用アナログ回路開発事例 ミリ波無線通信用高速データコンバータの研究 ヘルスケアシステム用アナログ回路技術の研究 粒子検出器ピクセル読み出し集積回路

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

山下・大畠研究室 チップギャラリー

山下・大畠研究室 チップギャラリー 山下 大畠研究室 チップギャラリー Since 2004 2.1 mm 6-bit Flash ADC Phase I differential voltage (V) 0.8 0.6 0.4 0.2 0-0.2-0.4-0.6-0.8 fs=1 GHz, fin=40 MHz Input analog signal ADC output 0 5 10 15 20 25 30 35 time (sample)

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

Mixed Signal SOC Circuit Design

Mixed Signal SOC Circuit Design AT-2. 微細化プロセスでのアナログ設計技術 松澤昭 東京工業大学 大学院理工学研究科 2005.03.22 A. Matsuzawa, Titech 1 AT-2. 微細化プロセスでのアナログ設計技術 13:00-13:35: 微細 低電圧 SoC 時代のアナログ技術松澤昭 ( 東工大 ) 13:35-14:10 : 高速 低電力 A/D 変換技術 ( 予稿なし ) 川人祥二 ( 静岡大 ) 14:10-14:20

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

SICE東北支部研究集会資料(2014年)

SICE東北支部研究集会資料(2014年) 計測自動制御学会東北支部第 291 回研究集会 (2014 年 10 月 23 日 ) 資料番号 291-12 断熱回路技術を用いた 低消費デジタル PWM 制御回路の設計 Design of low-power digital PWM circuit with adiabatic dynamic CMOS logic 鈴木暖 ( 山形大学 ), 阿部啄也 ( 山形大学 ), 澤田直樹 ( 山形大学

More information

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF 音声通信用ミキサ付き MHz 入力 45kHzFM IF 検波 IC 概要 外形 NJM59 は.8 V~9. Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 45kHz ( 標準 ) としています 発振器 ミキサ IF リミッタアンプ クワドラチャ検波 フィルタアンプに加えノイズ検波回路とノイズコンパレータを内蔵しています V 特徴 低電圧動作.8V~9.V

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

Microsoft PowerPoint - Nagano_Jisso_matsu_ ppt

Microsoft PowerPoint - Nagano_Jisso_matsu_ ppt システム集積回路技術の 発展と実装の役割 松澤昭 東京工業大学大学院理工学研究科 2008.12.02 長野実装フォーラム A. Matsuzawa 1 内容 SoC とその課題 実装技術への期待 まとめ 2008.12.02 長野実装フォーラム A. Matsuzawa 2 SoC とその課題 2008.12.02 長野実装フォーラム A. Matsuzawa 3 デジタル家電用 SoC の開発

More information

Microsoft PowerPoint - Presentation.ppt

Microsoft PowerPoint - Presentation.ppt サンプリングミキサを用いたワンセグ放送用 uner フロントエンドの研究 A sudy on he fron end for he uner using sampling mixer in ISDB-T 笹井重徳 小島貴志 馬上崇 李寧 倉科隆 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤研究室 目次 研究背景 サンプリングミキサワンセグ放送 サンプリングミキサ 結論 提案回路の特徴パラメータの決定

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o 小型スタンバイ機能付高精度正電圧レギュレータ 概要 NJU7241 シリーズは, 出力電圧精度 ±2% を実現したスタンバイ機能付の低消費電流正電圧レギュレータ IC で, 高精度基準電圧源, 誤差増幅器, 制御トランジスタ, 出力電圧設定用抵抗及び短絡保護回路等で構成されています 出力電圧は内部で固定されており, 下記バージョンがあります また, 小型パッケージに搭載され, 高出力でありながらリップル除去比が高く,

More information

Microsoft PowerPoint -

Microsoft PowerPoint - LSI の電源雑音のオンチップ測定回路 NEC システムデバイス研究所高宮真 taka@mel.cl.nec.co.jp システム 外来ノイズ 内部ノイズ LSI オンチップ測定回路 ボード パッケージ 発表内容 2 LSI 設計における電源ノイズ問題 オンチップ測定回路の必要性と可能性 オンチップ測定回路の紹介 電源ノイズ波形測定 電源ノイズスペクトラム測定 製品搭載が可能な回路 まとめ 3 Power/Signal

More information

AD

AD AD 1110800673 2015 2 25 1 1 1.1..................................... 1 1.2................................... 3 2 4 2.1....................... 4 2.2 TDC................................ 5 2.2.1.....................................

More information

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究

CMOS RF 回路(アーキテクチャ)とサンプリング回路の研究 CMOS RF 回路 ( アーキテクチャ ) と サンプリング回路の研究 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 974516 滝上征弥 指導教官小林春夫教授 発表内容 1.CMOS RF 回路 (a) 復調部アーキテクチャ (b) VCO 回路 ( 発振器 ) 2. サンプリング回路 (a) オシロスコープ トリガ回路 (b) CMOS コンパレータ回路 目的 無線通信システムの

More information

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術 平成 27 年度電子回路研究会高知市文化プラザかるぽーと Signal-Suppression Feed Forward ( 信号抑制フィードフォワード ) を用いた広帯域 LNA の低消費電力ノイズキャンセル技術 興大樹, 河内智, 李从兵, 神山雅貴, 高橋伸夫 ( 群馬大学 ) 馬場清一 ( 豊橋技術科学大学 ), 壇徹 ( オン セミコンダクター ) 小林春夫 ( 群馬大学 ) Gunma-univ.

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133 A Study of Adaptive Array Implimentation for mobile comunication in cellular system 15 1 31 01GD133 LSI DSP CMA 10km/s i 1 1 2 LS-CMA 5 2.1 CMA... 5 2.1.1... 5 2.1.2... 7 2.1.3... 10 2.2 LS-CMA... 13 2.2.1...

More information

????????????MUX ????????????????????

????????????MUX ???????????????????? PGA116 PGA112 PGA113 PGA117 PGA112, PGA113 PGA116, PGA117 www.tij.co.jp µµ µµ ± µ +5V +3V AV DD 1 C BYPASS.1µF DV DD C BYPASS.1µF C BYPASS.1µF V CAL/CH CH1 3 2 1kΩ MUX CAL1 PGA112 PGA113 R F 1 Output Stage

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

オーバーサンプリングによる ADC12 の高分解能

オーバーサンプリングによる ADC12 の高分解能 www.tij.co.jp アプリケーション レポート JAJA088-2007 年 8 月 ADC12 オーバーサンプリングによる高分解能の実現 Harman Grewal ( 日本テキサス インスツルメンツ ( 株 ) 菅原仁 訳 ) MSP430 まえがきこのアプリケーション レポートでは オーバーサンプリング手法により ADコンバータ (ADC) が提供するビット数よりも高い分解能を実現する方法を説明します

More information

sumi.indd

sumi.indd S/N S/N CCDCMOS CCD CMOS & E-mail hirofumi.sumi@jp.sony.com & E-mail Tadakuni.Narabu@jp.sony.com & E-mail Shinichiro.Saito@jp.sony.com Hirofumi SUMI, Non - Member and Tadakuni NARABU, Member and Shinichiro

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

スライド 1

スライド 1 電気情報通信学会 変調 ADC を用いたモータ駆動用 ディジタル信号処理方式の検討 群馬大学 : 小堀 古谷 山田 佐藤 田浦 森 光野 小林 ( 和 ) 小林 ( 春 ) ルネサステクノロジ : 鴻上 黒岩 黒澤 1 背景 1. 背景と目的 2. 回路構成と提案方式 3. 変調 ADCとディジタル制御方式 4. リア デシメーションフィルタ方式 5. シミュレーション結果 6. 結論 2 Areal

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 1) PLL( 位相ロック ループ ) 回路の基本と各部動作 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK)

More information

Tokyo Tech Template

Tokyo Tech Template 電子システム構築のための LSI 設計とアナログ技術 松澤昭 2014.01.30 東京工業大学大学院理工学研究科 Lab. Tokyo Institute & of Okada Technology Lab. 要旨 1 これまで日本の電子機器メーカが行ってきたことはデジタル化, 小型化 集積回路技術の向上でこれを達成 デジタル化が終了, 他分野の電子化などの発展ストーリが必要 アナログ (SoC)

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

Taro-DSノート

Taro-DSノート 3.A/D,D/A 変換 振幅が連続しており, 時間軸方向にも切れ目がない信号をアナログ信号と呼ぶ. これに対して, 振幅が飛び飛びであり, 飛び飛びの時刻にのみ存在し, または からなる数値列で表した信号をディジタル信号と呼ぶ. アナログ信号をディジタル信号に変換する回路が A/D 変換器 (A-D 変換器,ADC) であり, その逆の操作を行う回路が D/A 変換器 (D-A 変換,DAC) である.

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

LM358

LM358 LM358 2 DC LM358 5V DC 15V DC micro SMD (8 micro SMD) LM358 LM2904 LM258 LM158 20000801 19870224 33020 23900 11800 2002 3 ds007787 Converted to nat2000 DTD added avo -23 to the first page Edited for 2001

More information

PCI マルチファンクションデータ収集カードおよび 6U CompactPCI 高速デジタイザのシステム構成例 PCI バスを使用してデータ収集 PCI バスを持った PC + Acqiris 社高速デジタイザまたは Advantech 社マルチファンクションデータ収集カード PCIバスを拡張してデ

PCI マルチファンクションデータ収集カードおよび 6U CompactPCI 高速デジタイザのシステム構成例 PCI バスを使用してデータ収集 PCI バスを持った PC + Acqiris 社高速デジタイザまたは Advantech 社マルチファンクションデータ収集カード PCIバスを拡張してデ PCI マルチファンクションデータ収集カードおよび 6U CompactPCI 高速デジタイザのシステム構成例 PCI バスを使用してデータ収集 PCI バスを持った PC + Acqiris 社高速デジタイザまたは Advantech 社マルチファンクションデータ収集カード PCIバスを拡張してデータ収集インタフェース社 PCI-CompactPCI バスブリッジインタフェース PCIバスを持った

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

電気的特性 (Ta=25 C) 項目 記号 Min. Typ. Max. 単位 電源電圧 Vdd V 電源電流 Ivdd ma サンプルホールド電圧 1 Vref V サンプルホールド電流 1 Iref ma サンプルホールド電

電気的特性 (Ta=25 C) 項目 記号 Min. Typ. Max. 単位 電源電圧 Vdd V 電源電流 Ivdd ma サンプルホールド電圧 1 Vref V サンプルホールド電流 1 Iref ma サンプルホールド電 1024 画素の高速ラインレート近赤外イメージセンサ (0.9~1.7 μm) 多チャンネル高速ラインレートを必要とする異物選別や医療診断装置用として設計された1024 ch 近赤外 / 高速リニアイメージセンサです 信号処理回路にはCTIA (Capacitive Transimpedance Amplifi er) を採用し サンプルホールド回路を介する事で全画素同時蓄積を行いながら 読み出しを可能にしています

More information

ANJ_1092A

ANJ_1092A Application Note SBAA066 ± ± ± ± µ ± ± ± ± 24 Bits 20/24MSB 2 s f S 768 khz 25 MHz (1) V IH 2.0 5.0 V (1) V IL 0 0.8 V (2) V IH 3.0 0 V (2) V IL 5.0 4.2 V (1) I IH V IH = V DD ±10 µa (1) I IL V IL = 0V

More information

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp)

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp) LM9822 LM9822 3 Channel 42-Bit Color Scanner Analog Front End Literature Number: JAJS680 LM9822 3 42 LM9822 AFE CIS CCD CDS / LM9822 14 6MHz ADC 600 / CCD CDS CCD CIS TTL/CMOS 14 6MHz 5V 5% I/O 3.3V 10%

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

AN41904A

AN41904A DATA SHEET 品種名 パッケージコード UBGA064-P-0606ACA 発行年月 : 2007 年 6 月 1 目 概要. 3 特長. 3 用途. 3 外形. 3 構造.... 3 ブロック図.... 4 応用回路例.... 5 端子説明... 6 絶対最大定格..... 8 動作電源電圧範囲. 8 次 2 カムコーダ用レンズドライバ ( アイリス制御内蔵 ) 概要 は, カムコーダ用レンズドライバ

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

電気回路の構成

電気回路の構成 008.09.09 A_Matsuzawa_Titech 008.09.09 A_Matsuzawa_Titech 008.09.09 A_Matsuzawa_Titech 3 RFCMOS RFCMOS Global Wire Signal processing On chip inductor for resonator Transmission line On chip antenna Millimeter

More information

untitled

untitled LeCroy Technical Seminar WaveExpert April 13, 2005 LJDN-ST-WE-0204-0001 WaveExpert WaveExpert WaveExpert NRO WaveExpert LeCroy Japan, May 13, 2005 Page 2 Page 1 WaveExpert LeCroy Japan, May 13, 2005 Page

More information

LM7171 高速、高出力電流、電圧帰還型オペアンプ

LM7171 高速、高出力電流、電圧帰還型オペアンプ Very High Speed, High Output Current, Voltage Feedback Amplifier Literature Number: JAJS842 2 1 6.5mA 4100V/ s 200MHz HDSL 100mA 15V S/N ADC/DAC SFDR THD 5V VIP III (Vertically integrated PNP) 19850223

More information

PowerPoint Presentation

PowerPoint Presentation / 2008/04/04 Ferran Salleras 1 2 40Gb/s 40Gb/s PC QD PC: QD: e.g. PCQD PC/QD 3 CP-ON SP T CP-OFF PC/QD-SMZ T ~ps, 40Gb/s ~100fJ T CP-ON CP-OFF 500µm500µm Photonic Crystal SMZ K. Tajima, JJAP, 1993. Control

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 平成 17 年度前期大学院 情報デバイス工学特論 第 9 回 中里和郎 基本 CMOS アナログ回路 (2) 今回の講義内容は 谷口研二 :LS 設計者のための CMOS アナログ回路入門 CQ 出版 2005 の第 6 章ー 9 章 (pp. 99-158) の内容に従っている 講義では谷口先生のプレゼンテーション資料も使用 ソース接地増幅回路の入力許容範囲 V B M 2 M 1 M 2 V in

More information

スライド 1

スライド 1 2011 年 10 月 4 日,SCOPE 第 7 回成果発表会, 幕張メッセ デジタルコヒーレント光通信技術の 研究開発 Research on Digital Coherent Optical Communication Systems 菊池和朗 Kazuro Kikuchi 東京大学大学院工学系研究科電気系工学専攻 Department of Electrical Engineering and

More information

JA.qxd

JA.qxd Application Note http://www.ddwg.org/ DVI World PC Cable Assembly Video/Graphics Card Display Projector 2 キーワード 高速パルス シグナル ル インテグリティ インピーダンス ス マッチング EMI 対策 伝送距離の制約 相互接続性 3 http://www.ddwg.org/ DVI Revision

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

<4D F736F F F696E74202D F53434F50458DC58F4990AC89CA95F18D905F C835B83938E9197BF5F938C8D4891E55F89768CA48

<4D F736F F F696E74202D F53434F50458DC58F4990AC89CA95F18D905F C835B83938E9197BF5F938C8D4891E55F89768CA48 081503014 成果発表会資料 Oct 4, 2011 1 次世代超微細 CMOS プロセスに適した高マイクロ波帯デジタル RF 回路技術の研究開発 (081503014) このたびの東日本大震災により被災された皆様方に心よりお見舞い申し上げますとともに 皆さまの安全と一日も早い復旧を心よりお祈り申し上げます 研究代表者益一哉 Kazuya Masu 東京工業大学ソリューション研究機構 Solutions

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

Ver.1-5 Date レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 神奈川県相模原市中央区相模原 TEL: FAX:

Ver.1-5 Date レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 神奈川県相模原市中央区相模原 TEL: FAX: Ver.1-5 Date 2014.11.11 レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 252-0231 神奈川県相模原市中央区相模原 6-2-18 TEL:042-769-7873 FAX:042-769-7874 目 次 1. 概要... 2 2. 特長... 2 3. 用途... 2 4. 仕様...

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

LTC ビット、100ksps、サンプリングADC

LTC ビット、100ksps、サンプリングADC BUSY ±V INPUT Ω.k V IN CAP REF V k BUFFER k AGND 8 7 V DIG V ANA k k REFERENCE AGND 6-BIT SAMPLING ADC DGND CONTROL LOGIC AND TIMING D TO D BUSY CS R/C BYTE 6 TA 6 TO TO 6 µf.µf DIGITAL CONTROL SIGNALS

More information

スライド 1

スライド 1 ミリ波帯における注入同期を用いた I/Q ミスマッチ補償手法 近藤智史, 河合誠太郎, 岡田健一, 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤 岡田研究室 発表内容 2 研究背景 目標 従来技術と課題 注入同期を用いた補償手法 理論検討 測定結果 結論 研究背景 3 Australia Canada, USA Japan Europe 57 Frequency [GHz] 66 59.4

More information

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2 2 1 2 2 224 48 7 11 15 12 2 2 48 21 1 4 IEEE1394 USB1.1 USB2. 1 2 1.5 12 1.5 12 (Low speed) (Full speed) 4 48 (High speed) 5 5 * 29 年には USB3. がリリースされる予定で 5Gbps の SuperSpeed が追加される 224 4824 TP4 TP3 TP2

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output 10-1 10.1 降圧形 昇圧形 SIDO 電源 (1)

More information

(a) 4 1. A v = / 2. A i = / 3. A p = A v A i = ( )/( ) 4. Z i = / 5. Z o = /( ) = 0 2 1

(a) 4 1. A v = / 2. A i = / 3. A p = A v A i = ( )/( ) 4. Z i = / 5. Z o = /( ) = 0 2 1 http://www.ieicehbkb.org/ 1 7 2 1 7 2 2009 2 21 1 1 3 22 23 24 25 2 26 21 22 23 24 25 26 c 2011 1/(22) http://www.ieicehbkb.org/ 1 7 2 1 7 2 21 2009 2 1 1 3 1 211 2 1(a) 4 1. A v = / 2. A i = / 3. A p

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output H28 群馬大学大学院講義パワーエレクトロニクス工学論

More information

Microsoft PowerPoint - 22.pptx

Microsoft PowerPoint - 22.pptx 2.2 要素回路の機能 代表的なアナログ要素回路の機能を学ぼう ( 注 ) アナログ要素回路は 基本論理ゲートよりかなり複雑ですが ここでは トランジスタ回路を理解する必要はありません 各回路がどのような機能を持っているか どのような点に注意して使用しないといけないかだけ理解してください 1 2.2.1 表記上の注意 2 MOSFET の記号 MOSFET の記号 (Symbol) は いろいろな書き方があるので覚えておこう

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

AD5302/AD5312/AD5322: 2.5 ~ 5.5 V 電源、230 μA 消費電流、デュアル、レール to レール電圧出力の 8 / 10 / 12 ビット D/A コンバータ

AD5302/AD5312/AD5322: 2.5 ~ 5.5 V 電源、230 μA 消費電流、デュアル、レール to レール電圧出力の 8 / 10 / 12 ビット D/A コンバータ 2.5 5.5V 23µA to8/1/12 D/A AD532 18DAC 2 A 1LSB INL B.5LSB INL AD5312 11DAC 2 A 4LSB INL B 2LSB INL AD5322 112DAC 2 A 16LSB INL B 8LSB INL 1MSOP 3µA@5V 2nA@5V 5nA@3V 2.5 5.5V V REF V LDAC DAC to 1MSOP

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

Microsoft PowerPoint - 集積回路工学(11)_LP改_100112

Microsoft PowerPoint - 集積回路工学(11)_LP改_100112 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2//3 集積回路工学 () () 低消費電力設計 デバイスと回路設計 資料は松澤研のホームページ http://ssc.pe.titech.ac.jp にあります 2//3 集積回路工学 () 2 携帯電話 低消費電力技術無しでは携帯機器は実現しない!! 現在の携帯電話は万能の通信 A 機器である 携帯電話 (WCDMA/GSM)

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

LT 高信号レベル・アップコンバーティング・ミキサ

LT 高信号レベル・アップコンバーティング・ミキサ LT 高信号レベルアップコンバーティング ミキサ 特長 MHz RF RF IF IP 7dBm 9MHz dbm IF db RF LO dbm LO 二重平衡ミキサ イネーブル機能.V~.Vの単一電源電圧範囲 露出パッド付き ピン TSSOPパッケージ アプリケーション CATV ダウンリンク インフラストラクチャ ワイヤレス インフラストラクチャ 高直線性ミキサ アプリケーション 概要 LT

More information

Microsoft PowerPoint - 集積デバイス工学7.ppt

Microsoft PowerPoint - 集積デバイス工学7.ppt 集積デバイス工学 (7 問題 追加課題 下のトランジスタが O する電圧範囲を求めよただし T, T - とする >6 問題 P 型 MOS トランジスタについて 正孔の実効移動度 μ.7[m/ s], ゲート長.[μm], ゲート幅 [μm] しきい値電圧 -., 単位面積あたりの酸化膜容量

More information