Microsoft PowerPoint - aist ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - aist ppt"

Transcription

1 動的モンテカルロシミュレーションソフトウェア dynamic-sasamal Simulation of Atomic Scattering in Amorphous MAterials based on Liquid model 宮川佳子 宮川草児 産業技術総合研究所中部センター ( 名古屋 ) 基礎素材研究部門 1. はじめに 2. 計算モデルと計算方法 3. 研究への応用 4. まとめ

2 Ion Source 加速器からのイオンビームを用いたイオン注入大面積の試料や複雑形状の試料への注入は困難 Beam Scanner Target Analyzing Magnet Ion Beam Pumping プラズマイオン注入 (PBII, PSII, PIII) Plasma Source Target 大面積の試料や複雑形状の試料への注入が可能装置の構造が単純 細孔やパイプ内面の処理はやはり困難多種イオン注入 Pumping + - High Voltage Pulser

3 はじめに Dynamic-SASAMAL は イオン注入下における材料表層の組成や損傷の深さ分布 スパッタ率の線量依存性を求めるために開発された 2 体衝突モデルによる動的モンテカルロシミュレションプログラムである これを用いることにより イオンが材料表面に入射した場合について 材料表層の元素組成や放射線損傷の深さ方向分布やスパッタ率などが注入線量の増加に伴ってどのように変化するかをシミュレートすることが出来る 本プログラムをペガサスソフトウェア ( 株 ) の開発した 2 次元気相解析シミュレータに組み込むことにより プラズマプロセスを統合して解析することの出来るプラズマ 気相解析統合シミュレータ PEGASUS が完成した

4 PEGASUS 半導体製造技術, プラズマプロセス, 真空技術における (1) 装置の設計 開発 改良 評価 (2) 材料, デバイスの開発 製造 (3) プロセス技術の予測 開発 改良 の効率化, 実験および試作コストの軽減を目的とした支援シミュレータ

5 PEGASUS の構成概念 2 次元気相シミュレータ 中性ガス / ラジカルプラズマ密度の空間分布静 RF 電界 / 誘導電界 表面科学系シミュレータ エッチング PECVD イオン注入 ( 表面改質 ) Dynamic-SASAMAL イオン 材料表面相互作用解析計算プログラム 注入イオン 損傷等の深さ分布スパッタリング イオン散乱 スパッタリング

6 プラズマと固体表面との相互作用 成膜 : ラジカル付着 イオン衝撃スパッタリング : イオン衝撃エッチング : エッチングガスの化学反応 イオン衝撃表面改質 : イオン衝撃 電子衝撃 試料 真空容器 壁からの不純物混入 : スパッタリング プラズマ PECVD 装置エッチング装置マグネトロンスパッタ装置イオン注入装置等 ターゲット 電極

7 イオン 固体相互作用シミュレーション コードの分類 2 体衝突近似法 非結晶モンテカルロ法 TRIM ACAT SASAMAL 結晶 動的モンテカルロ法 MARLOWE Crystal-TRIM ACOCOT COSIPO XTOPS EVOLVE TRIDYN ACAT-DIFFUSE dynamic-sasamal 分子動力学法 PARASOL, MD-TOPS MODYSEM, SPUT3 MOLDYCASK, MOLDY 2 体衝突近似法 (BCA) は イオンと固体との相互作用を再現する適切な物理的モデルを導入することによって 計算時間を短縮している これにより BCA コードは イオン注入 放射線損傷 スパッタリング 表面散乱などのシミュレーションに使われ 成功してきた モンテカルロ法 : 非結晶ターゲットを取扱う 2 体衝突近似コードでは ターゲット原子の位置 衝突係数 あるいは 散乱角の決定に確率論的な手法を用いているので モンテカルロ法と呼ばれている 動的モンテカルロ法 : 線量依存性を予測する 元素組成の深さ分布 損傷の深さ分布 薄膜形成選択スパッタリング等

8 SASAMAL の計算モデル Incident ions : energy E reflection sputtering Nuclear collision scattering function dt dσ = π a 2 2 t 3/2 f(t 1/2 ) s vacancy f(t 1/2 ) = λ t 1/2-m [ 1 + (2 λ t 1-m ) q ] -1/q s Implanted ion t = ε T/T m Electronic energy loss Same as TRIM : Ziegler s equation E < Ec interstitial s = average atom distance amorphous target If random number < σ / s 2, then a nuclear collision occurs. On the straight line from one collision to the next collision, the atom looses its kinetic energy through electronic interactions. Parameters of the scattering function λ m q Thomas-Fermi(WSS) Kalbitzer-Oetzmann Lenz-Jensen Moliere

9 注入イオンの飛跡 衝突カスケード 2 kev N + 5 kev N + Ti.2.4 µm Ti µm 3 kev N + 5 kev Ti Ti µm Fe nm

10 入射エネルギーによる深さ分布の違い

11 イオン注入した元素濃度の深さ分布核的相互作用によるエネルギー付与密度の深さ分布電子的相互作用によるエネルギー付与密度の深さ分布 3 kev Ar SiC 3 kev He 1 kev Ar 1 kev He

12 He Ni 5 kev 実験結果との比較 He SiC ヒストグラム : シミュレーションの結果黒丸 : ガス再放出法による実験結果 He Nb 4 kev 1 kev 1 kev 2 kev 3 kev 2 kev DEPTH (A) DEPTH (A) DEPTH (A)

13 高線量イオン注入による材料表面改質

14

15 金属表面に窒素イオンを高線量で注入すると表面に窒化物セラミックス層が形成される 薄膜 X 線回折法による測定 Al への N イオン注入 AlN の形成 Zr への N イオン注入 ZrN の形成

16 Dynamic-SASAMAL の計算モデル イオン注入した窒素の深さ分布 計算結果と核反応法による測定結果の比較 atom i atom k D D D J = 1 J = 2 N k2 N k2 - N J = 3 N k3 N k3 + N J = j N ij N ij + N 飽和濃度 5% に達する前 N = 1/(A x D) イオン衝撃によっておきる原子の再配列を記録する Fig.2. Dynamic-SASAMALの計算モデル 深さ方向に層状に内部を分割 深さ分布が得られる 3 次元で分割すれば 3 次元の分布が得られるが計算時間は長くなる 飽和濃度 5% に達した後

17 材料産業技術フォーラム23 金属への高線量窒素イオン注入による窒化物表層形成 ヒストグラム : シミュレーション結果 点線 : 核反応法による測定結果 5 kev N to Zr ( ZrN 形成 ) 5 kev N to Al ( AlN 形成 )

18 材料産業技術フォーラム23 金属への高線量窒素イオン注入による窒化物表層形成 ヒストグラム : シミュレーション結果赤線 : 核反応法による測定結果 5 kev N to Ni ( Ni3N 形成 ) 5 kev N to Fe ( Fe2N 形成 )

19 5 kev N into Zr 計算結果と核反応法による測定結果の比較 5 kev N to Zr 5x1 17 3x1 17 1x kev N to Zr 1x1 18 ions/cm 2 イオン注入した窒素の深さ分布 飽和濃度 5% に達する前 イオン注入した窒素の深さ分布 飽和濃度 5% に達した後 金属にイオン注入した窒素は飽和濃度以上には含有されず それ以上の窒素は損傷濃度の高い表面方向に向かって拡散し 放出される イオンの注入量を増やしても窒素保有量には限界があり それ以上は増えない 厚さにも限界がある

20 各種金属に注入した窒素の保留量 誤差範囲を付けたマークは核反応法による測定結果

21 金属への N イオン注入により形成されるセラミックス層の厚さ入射エネルギーによって異なる N into Ti セラミックス層の厚さ = Rp + Rp

22 イオン注入した N 15 の深さ分布が引続く N 14 の注入により変化する様子 核反応法による測定結果 シミュレーションの結果と実験結果との比較

23 イオンビームミキシング ( 原子の混合 ) 高温における放射線誘起拡散 測定結果オージェ電子分光法 シミュレーション結果 Ti 4A SiC イオン注入なし 1keV Ar イオン注入後 5 kev N 1 C 5keV N 単純な衝突による原子混合では説明できない

24 測定結果オージェ電子分光法 拡散を考慮したシミュレーション結果 イオン注入なし 1x1 16 ions/cm 2 5x1 16 イオン注入後 1 C 5keV N 1x1 17 ions/cm 2 3x1 17

25 Si への多重エネルギー窒素イオン注入による Si 3 N 4 層の形成 CONCENTRATION (%) (a) 75keV N into Si 57 at.% 14 x x x x1 17 2x1 17 (a) 75 kev N on Si 1.45x1 18 ions/cm 2 N CONCECTRATION (%) (b) DEPTH (nm) 25keV(3.5)+5keV(3.5)+1keV(7.4x1 17 ) 25keV 25keV +5keV 57 at.% (b) kev N on Si 1.45x1 18 ions/cm DEPTH (nm) Si に注入した窒素の深さ分布. 窒素イオンを注入しアニールした後 斜めに切断した Si 断面の AFM 像

26 選択スハ ッタリンク による表面組成の変化 Surface composition change by 1keV Ar sputtering. CONCENTRATION (at.%) Cu Au 1keV Ar Au.25 Cu FLUENCE ( x1 16 ions/cm 2 ) CONCENTRATION (at.%) Au Al 1keV Ar Au.67 Al FLUENCE ( x1 16 ions/cm 2 ) 67 Target 1: Au.25 Cu.75 ( 25% Gold ) Target 2: Au.67 Al.33 ( 67% Gold ) final composition : Au.28 Cu.72 ( 28% Gold ) final composition : Au.76 Al.24 ( 76% Gold )

27 選択スハ ッタリンク による表面組成の変化 ( その 2) B 4 C と WC の表面組成の変化 質量比の影響質量の軽い元素が選択的にスパッタされる CONCENTRATION (at.%) FLUENCE ( x1 16 ions/cm 2 ) W 6 1 kev Ar WC 4 3 kev Ar WC 2 C CONCENTRATION (at.%) FLUENCE ( x1 16 ions/cm 2 ) B C 1 kev Ar B 4 C 3 kev Ar B 4 C Sp.Loss(A ) Sp.Loss(A )

28 CONCENTRATION (at.%) 1 B C 2 3 kev Ar on B 4 C 1x1 16 Sp.Loss= 3A CONCENTRATION (at.%) kev Ar on WC W C 1x1 16 Sp.Loss= 1.7 A CONCENTRATION (at.%) B C 5x1 16 Sp.Loss= 9A CONCENTRATION (at.%) C W 5x1 16 Sp.Loss= 8.6 A CONCENTRATION (at.%) B C 2x1 17 Sp.Loss= 67A CONCENTRATION (at.%) C W 1x1 17 Sp.Loss= 26 A DEPTH (A ) DEPTH (A )

29 プラズマ源イオン注入法による DLC 膜形成 アモルファス炭素 ( DLC) は 高硬度 低摩擦係数そして 耐腐食性の材料として良く知られている材料である しかしながら 広く産業界で用いられているにも関わらず その膜形成のメカニズムは未だに解明されておらず その作成は経験に頼っているのが現状である 我々は 形成されつつある膜の表面にプラズマ中から到達するイオンと中性ラジカルの比 及び入射するイオンのエネルギーが 形成される膜中の sp 3 /sp 2 成分比と水素含有量にどのように影響するかを 調べた

30 メタンプラズマの場合 主なイオンは CH 3+ イオンで ラジカルは CH 3* であると報告されている CH 3+ イオン H 原子放出 ( 衝突カスケードから ) C 原子と H 原子の放出 ( スパッタによる ) CH 3* ラジカル 一個の CH 3 ラジカルが表面に吸着する際 一個の H 原子が放出される (MD 計算の結果 ) 表面反応層 ( 低 Ed ) 動き出した H 原子は放出される 障壁エネルギー Ep 形成された a-c:h 層 表面下層エネルギーがEsp 3 以下のC 原子が周囲の1.87 個のC 原子と共にsp 3 結合状態となる 衝突で動き出したH 原子のH 2 分子となり その何割かは表面から放出される ミキシング層 基板 H 原子 C 原子 sp 3 結合 C 原子 メタンプラズマによる DLC 膜形成の模式図.

31 sp 3 (%) sp 3 濃度 ion/neutral ratio =1 exp. Weiler et al. ion/neutral ratio = 1 DENSITY (g.cm -3 ) 膜の密度 ion/neutral ratio = 1 ion/neutral ratio = 1 exp. Koidl et al ENERGY of CH3 (ev) sp 3 濃度のイオンエネルギー依存性 (Ep = 35eV, Esp 3 = 15eV ) 実験データ ( イオン注入 : Weiler et al.) イオン対ラジカル比 =1 は CH3 イオン注入に相当する この場合 sp 3 の形成される割合は Ep とEsp 3 の値を直接的に反映する sp 3 濃度の最高値は実験結果と良く一致している H (%) ENERGY of CH 3 (ev) 1 8 水素濃度 exp. Koidl et al. 6 ion/neutral ratio = ion/neutral ratio = ENERGY of CH 3 (ev)

32 開発した dynamic-sasamal コードは 二体衝突近似を用いた動的モンテカルロシミュレーションソフトウェアである Dynamic-SASAMAL を使って得られた研究結果のいくつかを紹介した 1) 金属への高線量窒素イオン注入による窒化物表層形成 2) イオンビームミキシング 3) 14 N と 15 N の交互注入による注入窒素の挙動の解析 4) Si への多重エネルギー窒素イオン注入による Si 3 N 4 層の形成 5) 選択スパッタリング 6) アモルファス炭素 (DLC) 膜形成プロセスの解析

33 プラズマ イオン注入 デポジション Plasma target voltage (V) -1-2 パルス波形 Time (micro sec) ion 試料に負パルス高電圧を印加 電子は動きが速いので試料周囲には電子のいないイオンシースが形成される プラズマの電位は数 1V 程度なのでシース端からイオンが試料表面に注入される イオンエネルギー : ガス圧が低くシース内でガス原子との衝突が起きなければ印加した電圧 x 荷電数

34 宮川 産総研中部センター voltage (V) 印加電圧のパルス波形 Time (micro sec) 2cm 1cm 1cm distance (cm) distance (cm) distance (cm) 試料周辺におけるイオン密度の時間変化 1.2 µs 7.2 µs 2.4 µs 8.4 µs 3.6 µs 1.8 µs distance (cm) distance (cm) (m -3 ) 1 16 シミュレーションの結果 Ar プラズマ (.1 mtorr, 1 16 m -3 ) 中においたトレンチ型の試料に負のパルス電圧 ( 最大電圧 -5V) を印加した場合

35 Example of pipe inner coating 1cm 4cm

36 Pipe Length Dependence 5Pa DC3kV radius = 2cm l = 5cm.2 µs.3µs.4 µs.8 µs.118 µs.12 µs 1 17 l = 1cm l = 5cm, 1cm Hollow cathode discharge plasma spreads whole inside of the pipe..2 µs.3µs.4 µs.8 µs.86 µs.86 µs l = 15cm Hollow cathode discharge plasma is not in the middle of the pipe. l = 15cm.1 µs.2µs.3 µs.4 µs.44 µs.46 µs 1 12 m -3

37 アスペクト比の大きな細長いパイプ 中心アース電極無し 中心アース電極挿入 (m -3 ) Pa DC - 3kV 3Pa DC - 1kV 3Pa RF -1kV 3Pa DC-5V 1kPa RF-5V 1 12 半径 : 2 cm 長さ : 3 cm 半径 : 2 cm, 長さ : 3 cm 半径 : 2 mm, 長さ : 3 mm ホローカソード放電プラズマ発生するが 中央のプラズマ密度が低い グロー放電プラズマ発生 どんなにパイプが長くてもよい

38 Particle distribution in DC magnetron sputtering system atom.27 s/cm /cm /cm specimen MAX =.33 x1 12 /cm 3 target S specimen MAX =.92 x1 12 /cm 3 anode anode STEP = 65, TIME = msec target S specimen MAX =.17 x1 12 /cm 3 target S anode N N N Ar AlCu Al 1 12 atoms/cm 3 specimen.25 MAX =.24 x1 12 /cm target S 1 12 /cm 3 specimen target S (B) TIME = msec, MAX =.725 x1 12 atoms/cm 3 anode electron distribution anode N Cu Particle flux deposited on the specimen Al Cu N AlCu B-field E-field 1 cm 1 cm.1 Tesla 5 V/cm Electric field and magnetic field. Target : AlCu

39 まとめ Dynamic-SASAMAL は イオン注入下における材料表層の組成や損傷の深さ分布 スパッタ率の線量依存性を求めるために開発された 2 体衝突モデルによる動的モンテカルロシミュレションプログラムである これを用いることにより イオンが材料表面に入射した場合について 材料表層の元素組成や放射線損傷の深さ方向分布やスパッタ率などが注入線量の増加に伴ってどのように変化して行くかをシミュレートすることが出来る 本プログラムをペガサスソフトウェア ( 株 ) の開発した 2 次元気相解析シミュレータに組み込むことにより プラズマプロセスを統合して解析することの出来るプラズマ 気相解析統合シミュレータ PEGASUS が完成した

Microsoft PowerPoint - 豊田2008HP閲覧用資料

Microsoft PowerPoint - 豊田2008HP閲覧用資料 核融合プラズマからプラズマプロセスまで - プラズマ中の原子過程 - 研究会 Aug 24. 26 プロセスガス分子およびイオンの同時照射下における表面反応過程の解析 名古屋大学工学研究科電子情報システム専攻 豊田浩孝 高田昇治 木下欣紀 菅井秀郎 Department of Electrical Engineering and omputer Science, Nagoya University

More information

大面積Micro Pixel Chamberの開発 9

大面積Micro Pixel Chamberの開発 9 Introduction µ-pic と電場構造 ガス増幅 Simulation 信号波形の再現 まとめと今後 京都大学宇宙線研究室髙田淳史 2 次元ガスイメージング検出器プリント基板技術で製作ピクセル間隔 :4 μm 個々のピクセルでガス増幅大面積 : cm 2 and 3 3 cm 2 大きな増幅率 :max ~15 高い位置分解能 :RMS ~12 μm 均一な応答 :RMS ~5% ( cm

More information

Techniques for Nuclear and Particle Physics Experiments Energy Loss by Radiation : Bremsstrahlung 制動放射によるエネルギー損失は σ r 2 e = (e 2 mc 2 ) 2 で表される為

Techniques for Nuclear and Particle Physics Experiments Energy Loss by Radiation : Bremsstrahlung 制動放射によるエネルギー損失は σ r 2 e = (e 2 mc 2 ) 2 で表される為 Techniques for Nuclear and Particle Physics Experiments.. Energy Loss by Radiation : Bremsstrahlung 制動放射によるエネルギー損失は σ r e = (e mc ) で表される為 質量に大きく依存する Ex) 電子の次に質量の小さいミューオンの制動放射によるエネルギー損失 m e 0.5 MeV, m

More information

化学結合が推定できる表面分析 X線光電子分光法

化学結合が推定できる表面分析 X線光電子分光法 1/6 ページ ユニケミー技報記事抜粋 No.39 p1 (2004) 化学結合が推定できる表面分析 X 線光電子分光法 加藤鉄也 ( 技術部試験一課主任 ) 1. X 線光電子分光法 (X-ray Photoelectron Spectroscopy:XPS) とは物質に X 線を照射すると 物質からは X 線との相互作用により光電子 オージェ電子 特性 X 線などが発生する X 線光電子分光法ではこのうち物質極表層から発生した光電子

More information

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63>

<4D F736F F D C82532D E8B5A95F18CB48D655F5F8E878A4F90FC C2E646F63> 技術紹介 6. イオンビームスパッタリング法によるエキシマレーザ光学系用フッ化物薄膜の開発 Development of fluoride coatings by Ion Beam Sputtering Method for Excimer Lasers Toshiya Yoshida Keiji Nishimoto Kazuyuki Etoh Keywords: Ion beam sputtering

More information

Microsoft Word - プレス原稿_0528【最終版】

Microsoft Word - プレス原稿_0528【最終版】 報道関係各位 2014 年 5 月 28 日 二酸化チタン表面における陽電子消滅誘起イオン脱離の観測に成功 ~ 陽電子を用いた固体最表面の改質に道 ~ 東京理科大学研究戦略 産学連携センター立教大学リサーチ イニシアティブセンター 本研究成果のポイント 二酸化チタン表面での陽電子の対消滅に伴って脱離する酸素正イオンの観測に成功 陽電子を用いた固体最表面の改質に道を拓いた 本研究は 東京理科大学理学部第二部物理学科長嶋泰之教授

More information

untitled

untitled SPring-8 RFgun JASRI/SPring-8 6..7 Contents.. 3.. 5. 6. 7. 8. . 3 cavity γ E A = er 3 πε γ vb r B = v E c r c A B A ( ) F = e E + v B A A A A B dp e( v B+ E) = = m d dt dt ( γ v) dv e ( ) dt v B E v E

More information

円筒型 SPCP オゾナイザー技術資料 T ( 株 ) 増田研究所 1. 構造株式会社増田研究所は 独自に開発したセラミックの表面に発生させる沿面放電によるプラズマ生成技術を Surface Discharge Induced Plasma Chemical P

円筒型 SPCP オゾナイザー技術資料 T ( 株 ) 増田研究所 1. 構造株式会社増田研究所は 独自に開発したセラミックの表面に発生させる沿面放電によるプラズマ生成技術を Surface Discharge Induced Plasma Chemical P 円筒型 SPCP オゾナイザー技術資料 T211-1 211.2.7 ( 株 ) 増田研究所 1. 構造株式会社増田研究所は 独自に開発したセラミックの表面に発生させる沿面放電によるプラズマ生成技術を Surface Discharge Induced Plasma Chemical Process (SPCP) と命名し 小型 ~ 中型のオゾナイザーとして製造 販売を行っている SPCP オゾナイザーは図

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

QOBU1011_40.pdf

QOBU1011_40.pdf 印字データ名 QOBU1 0 1 1 (1165) コメント 研究紹介 片山 作成日時 07.10.04 19:33 図 2 (a )センサー素子の外観 (b )センサー基板 色の濃い部分が Pt 形電極 幅 50μm, 間隔 50μm (c ),(d )単層ナノ チューブ薄膜の SEM 像 (c )Al O 基板上, (d )Pt 電極との境 界 熱 CVD 条件 触媒金属 Fe(0.5nm)/Al(5nm)

More information

Title

Title SIMS のアーティファクトについて ナノサイエンス株式会社 永山進 1 artifact( アーティファクト ) とは? 辞書を調べると Artifact ( 考古学 ), 人工品 人工遺物 ( 先史時代の単純な器物 宝石 武器など ) 出土品 Artifact ( 技術的なエラー ), 技術的な側面から入り込むデーターにおける望ましくない変化 ( 測定や解析の段階で発生したデータのエラーや解析のゆがみ

More information

研究成果報告書

研究成果報告書 ① ア ニ ー ル 温 度 の 違 い に よ る ナ ノ 構 造 制御 論文④ ⑤関連 シード層として Ti を用い Ag/Ti 薄膜を MgO(001)基板上に室温蒸着させた後にアニ ール処理を施す その際 アニール条件 温 度 時間 を変えた場合の基板上に形成され る Ag ナノ構造の変化について調べた Fig.1 の薄膜表面の原子間力顕微鏡 AFM 像に見られるように (a)ti シード層

More information

Mott散乱によるParity対称性の破れを検証

Mott散乱によるParity対称性の破れを検証 Mott Parity P2 Mott target Mott Parity Parity Γ = 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 t P P ),,, ( 3 2 1 0 1 γ γ γ γ γ γ ν ν µ µ = = Γ 1 : : : Γ P P P P x x P ν ν µ µ vector axial vector ν ν µ µ γ γ Γ ν γ

More information

03J_sources.key

03J_sources.key Radiation Detection & Measurement (1) (2) (3) (4)1 MeV ( ) 10 9 m 10 7 m 10 10 m < 10 18 m X 10 15 m 10 15 m ......... (isotope)...... (isotone)......... (isobar) 1 1 1 0 1 2 1 2 3 99.985% 0.015% ~0% E

More information

CdTe γ 02cb059e :

CdTe γ 02cb059e : CdTe γ 02cb059e : 2006 5 2 i 1 1 1.1............................................ 1 1.2............................................. 2 1.3............................................. 2 2 3 2.1....................................

More information

19 σ = P/A o σ B Maximum tensile strength σ % 0.2% proof stress σ EL Elastic limit Work hardening coefficient failure necking σ PL Proportional

19 σ = P/A o σ B Maximum tensile strength σ % 0.2% proof stress σ EL Elastic limit Work hardening coefficient failure necking σ PL Proportional 19 σ = P/A o σ B Maximum tensile strength σ 0. 0.% 0.% proof stress σ EL Elastic limit Work hardening coefficient failure necking σ PL Proportional limit ε p = 0.% ε e = σ 0. /E plastic strain ε = ε e

More information

< F91E F1835C D835E815B8CA48B8689EF5F8FE396EC2E786477>

< F91E F1835C D835E815B8CA48B8689EF5F8FE396EC2E786477> 2011 年 5 月 20 日 第 4 回ソフトマター研究会 産業利用における GISAXS の活用 東レリサーチセンター構造化学研究部構造化学第 2 研究室岡田一幸 1. 小角 X 線散乱 ( 反射測定 ) 薄膜中のポア (Low-k 膜 ) 2.GISAXS による粒子サイズ評価 薄膜に析出した結晶 (High-k 膜 ) 3. ポリマーの秩序構造の評価 ブロックコポリマーの自己組織化過程 4.

More information

Microsoft Word - プレリリース参考資料_ver8青柳(最終版)

Microsoft Word - プレリリース参考資料_ver8青柳(最終版) 別紙 : 参考資料 従来の深紫外 LED に比べ 1/5 以下の低コストでの製造を可能に 新縦型深紫外 LED Ref-V DUV LED の開発に成功 立命館大学総合科学技術研究機構の黒瀬範子研究員並びに青柳克信上席研究員は従来 の 1/5 以下のコストで製造を可能にする新しいタイプの縦型深紫外 LED(Ref-V DUV LED) の開発に成功した 1. コスト1/5 以下の深紫外 LED 1)

More information

Microsoft PowerPoint - siryo7

Microsoft PowerPoint - siryo7 . 化学反応と溶液 - 遷移状態理論と溶液論 -.. 遷移状態理論 と溶液論 7 年 5 月 5 日 衝突論と遷移状態理論の比較 + 生成物 原子どうしの反応 活性錯体 ( 遷移状態 ) は 3つの並進 つの回転の自由度をもつ (1つの振動モードは分解に相当 ) 3/ [ ( m m) T] 8 IT q q π + π tansqot 3 h h との並進分配関数 [ πmt] 3/ [ ] 3/

More information

PEA_24回実装学会a.ppt

PEA_24回実装学会a.ppt 85 85% 環境下での 絶縁体内部電荷分布経時変化の測定技術 ファイブラボ株式会社デバイス部河野唯通 Email: yuimichi@5lab.co.jp 表面実装から部品内蔵基板へ 従来からの表面実装から部品内蔵基板へ 基板は層状構造となり厚さ方向の絶縁性も重要 使用される絶縁層間フィルムはますます薄くなる 低電圧だが, 電界は電力線並み! 高電圧電力ケーブル 機器の絶縁材料評価方法 絶縁材料評価方法として空間電荷の測定が重要とされた理由

More information

hν 688 358 979 309 308.123 Hz α α α α α α No.37 に示す Ti Sa レーザーで実現 術移転も成功し 図 9 に示すよ うに 2 時間は連続測定が可能な システムを実現した Advanced S o l i d S t a t e L a s e r s 2016, JTu2A.26 1-3. 今後は光周波 数比計測装置としてさらに改良 を加えていくとともに

More information

Microsoft PowerPoint - hiei_MasterThesis

Microsoft PowerPoint - hiei_MasterThesis LHC 加速器での鉛鉛衝突における中性 πおよびω 中間子測定の最適化 日栄綾子 M081043 クォーク物理学研究室 目的 概要 目的 LHC 加速器における TeV 領域の鉛鉛衝突実験における中性 π および ω 中間子の測定の実現可能性の検証 および実際の測定へ向けた最適化 何故鉛鉛衝突を利用して 何を知りたいのか中性 πおよびω 中間子測定の魅力 ALICE 実験検出器群 概要予想される統計量およびバックグランドに対するシグナルの有意性を見積もった

More information

論文の内容の要旨

論文の内容の要旨 論文の内容の要旨 2 次元陽電子消滅 2 光子角相関の低温そのまま測定による 絶縁性結晶および Si 中の欠陥の研究 武内伴照 絶縁性結晶に陽電子を入射すると 多くの場合 電子との束縛状態であるポジトロニウム (Ps) を生成する Ps は 電子と正孔の束縛状態である励起子の正孔を陽電子で置き換えたものにあたり いわば励起子の 同位体 である Ps は 陽電子消滅 2 光子角相関 (Angular

More information

C el = 3 2 Nk B (2.14) c el = 3k B C el = 3 2 Nk B

C el = 3 2 Nk B (2.14) c el = 3k B C el = 3 2 Nk B I ino@hiroshima-u.ac.jp 217 11 14 4 4.1 2 2.4 C el = 3 2 Nk B (2.14) c el = 3k B 2 3 3.15 C el = 3 2 Nk B 3.15 39 2 1925 (Wolfgang Pauli) (Pauli exclusion principle) T E = p2 2m p T N 4 Pauli Sommerfeld

More information

<4D F736F F D2097CA8E718CF889CA F E F E2E646F63>

<4D F736F F D2097CA8E718CF889CA F E F E2E646F63> 量子効果デバイス第 11 回 前澤宏一 トンネル効果とフラッシュメモリ デバイスサイズの縮小縮小とトンネルトンネル効果 Si-CMOS はサイズの縮小を続けることによってその性能を伸ばしてきた チャネル長や ゲート絶縁膜の厚さ ソース ドレイン領域の深さ 電源電圧をあるルール ( これをスケーリング則という ) に従って縮小することで 高速化 低消費電力化が可能となる 集積回路の誕生以来 スケーリング側にしたがって縮小されてきたデバイスサイズは

More information

SP8WS

SP8WS GIXS でみる 液晶ディスプレイ用配向膜 日産化学工業株式会社 電子材料研究所 酒井隆宏 石津谷正英 石井秀則 遠藤秀幸 ( 財 ) 高輝度光科学研究センター 利用研究促進部門 Ⅰ 小金澤智之 広沢一郎 背景 Ⅰ ~ LCD の表示品質 ~ 液晶ディスプレイ (LCD) 一方向に揃った ( 配向した ) 液晶分子を電圧により動かすことで表示 FF 液晶分子 液晶配向と表示品質 C 電極 液晶分子の配向が乱れると表示品質が悪化

More information

untitled

untitled 20101221JST (SiC - Buried Gate Static Induction Transistor: SiC-BGSIT) SOURCE GATE N source layer p + n p + n p + n p+ n drift layer n + substrate DRAIN SiC-BGSIT (mωcm 2 ) 200 100 40 10 4 1 Si limit

More information

放射線化学, 92, 39 (2011)

放射線化学, 92, 39 (2011) V. M. S. V. 1 Contents of the lecture note by Prof. V. M. Byakov and Dr. S. V. Stepanov (Institute of Theoretical and Experimental Physics, Russia) are described in a series of articles. The first article

More information

2013 1 9 1 2 1.1.................................... 2 1.2................................. 4 1.3.............................. 6 1.4...................................... 8 1.5 n p................................

More information

Microsoft PowerPoint Aug30-Sept1基研研究会熱場の量子論.ppt

Microsoft PowerPoint Aug30-Sept1基研研究会熱場の量子論.ppt 原子核における α 粒子の Bose-Einstein 凝縮 大久保茂男 S. Ohkubo ( 高知女子大 環境理学科 ) @ 1999 クラスター模型軽い領域だけでなく重い領域 40 Ca- 44 Ti 領域での成立理論 実験 1998 PTP Supplement 132 ( 山屋尭追悼記念 ) 重い核の領域へのクラスター研究 44 Ti fp 殻領域 40 Ca α の道が切り開かれた クラスター模型の歴史と展開

More information

Acrobat Distiller, Job 2

Acrobat Distiller, Job 2 2 3 4 5 Eg φm s M f 2 qv ( q qφ ) = qφ qχ + + qφ 0 0 = 6 p p ( Ei E f ) kt = n e i Q SC = qn W A n p ( E f Ei ) kt = n e i 7 8 2 d φ( x) qn = A 2 dx ε ε 0 s φ qn s 2ε ε A ( x) = ( x W ) 2 0 E s A 2 EOX

More information

23 1 Section ( ) ( ) ( 46 ) , 238( 235,238 U) 232( 232 Th) 40( 40 K, % ) (Rn) (Ra). 7( 7 Be) 14( 14 C) 22( 22 Na) (1 ) (2 ) 1 µ 2 4

23 1 Section ( ) ( ) ( 46 ) , 238( 235,238 U) 232( 232 Th) 40( 40 K, % ) (Rn) (Ra). 7( 7 Be) 14( 14 C) 22( 22 Na) (1 ) (2 ) 1 µ 2 4 23 1 Section 1.1 1 ( ) ( ) ( 46 ) 2 3 235, 238( 235,238 U) 232( 232 Th) 40( 40 K, 0.0118% ) (Rn) (Ra). 7( 7 Be) 14( 14 C) 22( 22 Na) (1 ) (2 ) 1 µ 2 4 2 ( )2 4( 4 He) 12 3 16 12 56( 56 Fe) 4 56( 56 Ni)

More information

プラズマ核融合学会誌11月【81‐11】/小特集5

プラズマ核融合学会誌11月【81‐11】/小特集5 Japan Atomic Energy Agency, Ibaraki 311-0193, Japan 1) Kyoto University, Uji 611-0011, Japan 2) National Institute of Advanced Industrial Science and Technology, Tsukuba 305-8569, Japan 3) Central Research

More information

Microsoft PowerPoint - semi_ppt07.ppt [互換モード]

Microsoft PowerPoint - semi_ppt07.ppt [互換モード] 1 MOSFETの動作原理 しきい電圧 (V TH ) と制御 E 型とD 型 0 次近似によるドレイン電流解析 2 電子のエネルギーバンド図での考察 理想 MOS 構造の仮定 : シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない 金属 (M) 酸化膜 (O) シリコン (S) 電子エ金属 酸化膜 シリコン (M) (O) (S) フラットバンド ネルギー熱平衡で 伝導帯 E

More information

物性物理学I_2.pptx

物性物理学I_2.pptx The University of Tokyo, Komaba Graduate School of Arts and Sciences I 凝縮系 固体 をデザインする 銅()面上の鉄原子の 量子珊瑚礁 IBM Almaden 許可を得て掲載 www.almaden.ibm.com/vis/stm/imagesstm5.jpg&imgrefurl=http://www.almaden.ibm.com/vis/

More information

C-2 NiS A, NSRRC B, SL C, D, E, F A, B, Yen-Fa Liao B, Ku-Ding Tsuei B, C, C, D, D, E, F, A NiS 260 K V 2 O 3 MIT [1] MIT MIT NiS MIT NiS Ni 3 S 2 Ni

C-2 NiS A, NSRRC B, SL C, D, E, F A, B, Yen-Fa Liao B, Ku-Ding Tsuei B, C, C, D, D, E, F, A NiS 260 K V 2 O 3 MIT [1] MIT MIT NiS MIT NiS Ni 3 S 2 Ni M (emu/g) C 2, 8, 9, 10 C-1 Fe 3 O 4 A, SL B, NSRRC C, D, E, F A, B, B, C, Yen-Fa Liao C, Ku-Ding Tsuei C, D, D, E, F, A Fe 3 O 4 120K MIT V 2 O 3 MIT Cu-doped Fe3O4 NCs MIT [1] Fe 3 O 4 MIT Cu V 2 O 3

More information

36 th IChO : - 3 ( ) , G O O D L U C K final 1

36 th IChO : - 3 ( ) , G O O D L U C K final 1 36 th ICh - - 5 - - : - 3 ( ) - 169 - -, - - - - - - - G D L U C K final 1 1 1.01 2 e 4.00 3 Li 6.94 4 Be 9.01 5 B 10.81 6 C 12.01 7 N 14.01 8 16.00 9 F 19.00 10 Ne 20.18 11 Na 22.99 12 Mg 24.31 Periodic

More information

2018/6/12 表面の電子状態 表面に局在する電子状態 表面電子状態表面準位 1. ショックレー状態 ( 準位 ) 2. タム状態 ( 準位 ) 3. 鏡像状態 ( 準位 ) 4. 表面バンドのナローイング 5. 吸着子の状態密度 鏡像力によるポテンシャル 表面からzの位置の電子に働く力とポテン

2018/6/12 表面の電子状態 表面に局在する電子状態 表面電子状態表面準位 1. ショックレー状態 ( 準位 ) 2. タム状態 ( 準位 ) 3. 鏡像状態 ( 準位 ) 4. 表面バンドのナローイング 5. 吸着子の状態密度 鏡像力によるポテンシャル 表面からzの位置の電子に働く力とポテン 表面の電子状態 表面に局在する電子状態 表面電子状態表面準位. ショックレー状態 ( 準位. タム状態 ( 準位 3. 鏡像状態 ( 準位 4. 表面バンドのナローイング 5. 吸着子の状態密度 鏡像力によるポテンシャル 表面からzの位置の電子に働く力とポテンシャル e F z ( z z e V ( z ( Fz dz 4z e V ( z 4z ( z > ( z < のときの電子の運動を考える

More information

natMg+86Krの反応による生成核からのβ線の測定とGEANTによるシミュレーションとの比較

natMg+86Krの反応による生成核からのβ線の測定とGEANTによるシミュレーションとの比較 nat Mg+ 86 Kr の反応による生成核からの β 線の測定と GEANT によるシミュレーションとの比較 田尻邦彦倉健一朗 下田研究室 目次 実験の目的 nat Mg+ 86 Kr 生成核からの β 線の測定 @RCNP 実験方法 実験結果 GEANT によるシミュレーション 解析 結果 まとめ 今後の課題 実験の目的 偏極した中性子過剰 Na アイソトープの β-γ-γ 同時測定実験を TRIUMF

More information

Microsoft PowerPoint - semi_ppt07.ppt

Microsoft PowerPoint - semi_ppt07.ppt 半導体工学第 9 回目 / OKM 1 MOSFET の動作原理 しきい電圧 (V( TH) と制御 E 型と D 型 0 次近似によるドレイン電流解析 半導体工学第 9 回目 / OKM 2 電子のエネルギーバンド図での考察 金属 (M) 酸化膜 (O) シリコン (S) 熱平衡でフラットバンド 伝導帯 E c 電子エネルギ シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない

More information

気体を用いた荷電粒子検出器

気体を用いた荷電粒子検出器 2009/12/7 物理学コロキウム第 2 気体を用いた荷電粒子検出器 内容 : 1. 研究の目的 2. 気体を用いた荷電粒子検出器 3. 霧箱での α 線の観察 4. 今後の予定 5. まとめ 柴田 陣内研究室 寄林侑正 2009/12/7 1 1. 研究の目的 気体の電離作用を利用した荷電粒子検出器の原理を学ぶ 実際に霧箱とスパークチェンバーを作成する 放射線を観察し 荷電粒子と気体粒子の相互作用について学ぶ

More information

報道関係者各位 平成 24 年 4 月 13 日 筑波大学 ナノ材料で Cs( セシウム ) イオンを結晶中に捕獲 研究成果のポイント : 放射性セシウム除染の切り札になりうる成果セシウムイオンを効率的にナノ空間 ナノの檻にぴったり収容して捕獲 除去 国立大学法人筑波大学 学長山田信博 ( 以下 筑

報道関係者各位 平成 24 年 4 月 13 日 筑波大学 ナノ材料で Cs( セシウム ) イオンを結晶中に捕獲 研究成果のポイント : 放射性セシウム除染の切り札になりうる成果セシウムイオンを効率的にナノ空間 ナノの檻にぴったり収容して捕獲 除去 国立大学法人筑波大学 学長山田信博 ( 以下 筑 報道関係者各位 平成 24 年 4 月 13 日 筑波大学 ナノ材料で Cs( セシウム ) イオンを結晶中に捕獲 研究成果のポイント : 放射性セシウム除染の切り札になりうる成果セシウムイオンを効率的にナノ空間 ナノの檻にぴったり収容して捕獲 除去 国立大学法人筑波大学 学長山田信博 ( 以下 筑波大学 という ) 数理物質系 系長三明康郎 守友浩教授は プルシャンブルー類似体を用いて 水溶液中に溶けている

More information

untitled

untitled 27.2.9 TOF-SIMS SIMS TOF-SIMS SIMS Mass Spectrometer ABCDE + ABC+ DE + Primary Ions: 1 12 ions/cm 2 Molecular Fragmentation Region ABCDE ABCDE 1 15 atoms/cm 2 Molecular Desorption Region Why TOF-SIMS?

More information

Electrical contact characteristics of n-type diamond with Ti, Ni, NiSi2, and Ni3P electrodes

Electrical contact characteristics of n-type diamond with Ti, Ni, NiSi2, and Ni3P electrodes Electrical contact characteristics of n-type diamond with Ti, Ni, NiSi 2, and Ni 3 P electrodes 杉井 岩井研究室 12M36240 武正敦 1 注目を集めるワイドギャップ半導体 パワーエレクトロニクス ( 半導体の電力変換分野への応用 ) に期待 ワイドギャップ半導体に注目 Properties (relative

More information

J-PARC E15 K K-pp Missing mass Invariant mass K - 3 He Formation K - pp cluster neutron Mode to decay charged particles p Λ π - Decay p Decay E15 dete

J-PARC E15 K K-pp Missing mass Invariant mass K - 3 He Formation K - pp cluster neutron Mode to decay charged particles p Λ π - Decay p Decay E15 dete J-PARC E15 (TGEM-TPC) TGEM M1 ( ) J-PARC E15 TPC TGEM TGEM J-PARC E15 K K-pp Missing mass Invariant mass K - 3 He Formation K - pp cluster neutron Mode to decay charged particles p Λ π - Decay p Decay

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 平成 30 年度機械振興補助事業 研究成果報告 ( ステンレス材料への応用 ) 生体に対する高潤滑性炭素コーティングの技術開発 ~ 上半期研究成果 ~ 東京電機大学工学部電気電子工学科平栗健二 TDU 東京電機大学 1 ダイヤモンド状炭素薄膜 (DLC) グラファイト ダイヤモンド DLC 背景 + = 特性 ~ 応用先 ~ 低摩擦性化学的安定性生体適合性ガスバリア性 工業分野 医療分野 TDU 東京電機大学

More information

Microsoft PowerPoint - qchem3-11

Microsoft PowerPoint - qchem3-11 8 年度冬学期 量子化学 Ⅲ 章量子化学の応用.6. 溶液反応 9 年 1 月 6 日 担当 : 常田貴夫准教授 溶液中の反応 溶液反応の特徴は 反応する分子の周囲に常に溶媒分子が存在していること 反応過程が遅い 反応自体の化学的効果が重要 遷移状態理論の熱力学表示が適用できる反応過程が速い 反応物が相互に接近したり 生成物が離れていく拡散過程が律速 溶媒効果は拡散現象 溶液中の反応では 分子は周囲の溶媒分子のケージ内で衝突を繰り返す可能性が高い

More information

Microsoft PowerPoint _量子力学短大.pptx

Microsoft PowerPoint _量子力学短大.pptx . エネルギーギャップとrllouゾーン ブリルアン領域,t_8.. 周期ポテンシャル中の電子とエネルギーギャップ 簡単のため 次元に間隔 で原子が並んでいる結晶を考える 右方向に進行している電子の波は 間隔 で規則正しく並んでいる原子が作る格子によって散乱され 左向きに進行する波となる 波長 λ が の時 r の反射条件 式を満たし 両者の波が互いに強め合い 定在波を作る つまり 式 式を満たす波は

More information

AlGaN/GaN HFETにおける 仮想ゲート型電流コラプスのSPICE回路モデル

AlGaN/GaN HFETにおける 仮想ゲート型電流コラプスのSPICE回路モデル AlGaN/GaN HFET 電流コラプスおよびサイドゲート効果に関する研究 徳島大学大学院先端技術科学教育部システム創生工学専攻電気電子創生工学コース大野 敖研究室木尾勇介 1 AlGaN/GaN HFET 研究背景 高絶縁破壊電界 高周波 高出力デバイス 基地局などで実用化 通信機器の発達 スマートフォン タブレットなど LTE LTE エンベロープトラッキング 低消費電力化 電源電圧を信号に応じて変更

More information

研究成果報告書(基金分)

研究成果報告書(基金分) 様式 F-19 科学研究費助成事業 ( 学術研究助成基金助成金 ) 研究成果報告書 平成 25 年 6 月 5 日現在 機関番号 :13901 研究種目 : 若手研究 (B) 研究期間 :2011 ~ 2012 課題番号 :23760694 研究課題名 ( 和文 ) 反応性プラズマプロセスにおけるラジカル イオンの相互表面反応過程の解明研究課題名 ( 英文 ) Clarification of surface

More information

中性子関連技術解説書 1. はじめに 中性子利用技術名 ; 粉末中性子線回折解説書作成者 ; 技術士氏名伊東亮一 粉末中性子線回折は試料に中性子を当て 散乱される中性子線を測定して試料中の原 子構造を調べる分析法です 粉末のままで結晶構造解析ができます 2. 概要 2.1 粉末中性子線回折従来 結晶

中性子関連技術解説書 1. はじめに 中性子利用技術名 ; 粉末中性子線回折解説書作成者 ; 技術士氏名伊東亮一 粉末中性子線回折は試料に中性子を当て 散乱される中性子線を測定して試料中の原 子構造を調べる分析法です 粉末のままで結晶構造解析ができます 2. 概要 2.1 粉末中性子線回折従来 結晶 中性子関連技術解説書 1. はじめに 中性子利用技術名 ; 粉末中性子線回折解説書作成者 ; 技術士氏名伊東亮一 粉末中性子線回折は試料に中性子を当て 散乱される中性子線を測定して試料中の原 子構造を調べる分析法です 粉末のままで結晶構造解析ができます 2. 概要 2.1 粉末中性子線回折従来 結晶構造を調べる目的では中性子線回折装置は X 線回折法と同様に使われてきました この度 J-PARC に高性能の粉末中性子線回折装置が新設されて産業へのより一層の応用が期待されています

More information

B

B B07557 0 0 (AGN) AGN AGN X X AGN AGN Geant4 AGN X X X (AGN) AGN AGN X AGN. AGN AGN Seyfert Seyfert Seyfert AGN 94 Carl Seyfert Seyfert Seyfert z < 0. Seyfert I II I 000 km/s 00 km/s II AGN (BLR) (NLR)

More information

表面化学_ pptx

表面化学_ pptx 1 n 表面の緩和 物理的な緩和 表面原子やイオンの格子位置からの変動 表面原子の再配列 物理吸着と化学吸着 化学的な緩和 n 実在表面 表面化学 (10/10( 金 ) 2 限 ) 最新の研究成果の紹介 Low Energy Ion Scattering (LEIS) による表面観察 (La(Sr)Co(Fe)O 3 の Sr の表面凝集 PrNi(Cu,Ga)O 4 への Au 添加効果 ) n

More information

Microsoft Word - planck定数.doc

Microsoft Word - planck定数.doc . 目的 Plck 定数 光電効果についての理解を深める. また光電管を使い実際に光電効果を観察し,Plck 定数および仕事関数を求める.. 課題 Hg- スペクトルランプから出ている何本かの強いスペクトル線のなかから, フィルターを使い, 特定の波長域のスペクトル線を選択し, それぞれの場合について光電効果により飛び出してくる電子の最高エネルギーを測定する. この測定結果から,Plck 定数 h

More information

positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) MeV : thermalization m psec 100

positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) MeV : thermalization m psec 100 positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) 0.5 1.5MeV : thermalization 10 100 m psec 100psec nsec E total = 2mc 2 + E e + + E e Ee+ Ee-c mc

More information

ポリトロープ、対流と輻射、時間尺度

ポリトロープ、対流と輻射、時間尺度 宇宙物理学 ( 概論 ) 6/6/ 大阪大学大学院理学研究科林田清 ポリトロープ関係式 1+(1/) 圧力と密度の間にP=Kρ という関係が成り立っていると仮定する K とは定数でをポリトロープ指数と呼ぶ 5 = : 非相対論的ガス dlnp 3 断熱変化の場合 断熱指数 γ, と dlnρ 4 = : 相対論的ガス 3 1 = の関係にある γ 1 等温変化の場合は= に相当 一様密度の球は=に相当

More information

Microsoft PowerPoint - 01_内田 先生.pptx

Microsoft PowerPoint - 01_内田 先生.pptx 平成 24 年度 SCOPE 研究開発助成成果報告会 ( 平成 22 年度採択 ) 塩害劣化した RC スラブの一例 非破壊評価を援用した港湾コンクリート構造物の塩害劣化予測手法の開発 かぶりコンクリートのはく落 大阪大学大学院鎌田敏郎佐賀大学大学院 内田慎哉 の腐食によりコンクリート表面に発生したひび割れ ( 腐食ひび割れ ) コンクリート構造物の合理的な維持管理 ( 理想 ) 開発した手法 点検

More information

電子部品の試料加工と観察 分析 解析 ~ 真の姿を求めて ~ セミナー A 電子部品の試料加工と観察 分析 解析 ~ 真の姿を求めて ~ セミナー 第 9 回 品質技術兼原龍二 前回の第 8 回目では FIB(Focused Ion Beam:FIB) のデメリットの一つであるGaイ

電子部品の試料加工と観察 分析 解析 ~ 真の姿を求めて ~ セミナー A 電子部品の試料加工と観察 分析 解析 ~ 真の姿を求めて ~ セミナー 第 9 回 品質技術兼原龍二 前回の第 8 回目では FIB(Focused Ion Beam:FIB) のデメリットの一つであるGaイ 第 9 回 品質技術兼原龍二 前回の第 8 回目では FIB(Focused Ion Beam:FIB) のデメリットの一つであるGaイオンの打ち込み ( 図 19. 第 6 回参照 ) により 試料の側壁に形成されるダメージ層への対処について事例などを交えながら説明させていただきました 今回は 試料の表面に形成されるダメージ層について その対処法を事例を示してお話しをさせていただきます Gaイオンの試料への打ち込みですが

More information

何が起こっているかを知ろう!

何が起こっているかを知ろう! ケーススタデイ - その 1 表面汚染の検査に多く用いられる大面積端窓型 GM 計数管の表示値と表面汚染密度の関係 注 : 本換算は表面の汚染に対しての計算例であり 瓦礫など汚染が表面に限定されていない場合には利用できません (2015.7.29 追記 ) 参考規格 JIS Z 4329 放射性表面汚染サーベイメータ JIS Z 4504 放射性表面汚染の測定方法 (ISO 7503-1) 考察した測定機器の仕様窓径

More information

PowerPoint Presentation

PowerPoint Presentation 半導体電子工学 II 神戸大学工学部 電気電子工学科 12/08/'10 半導体電子工学 Ⅱ 1 全体の内容 日付内容 ( 予定 ) 備考 1 10 月 6 日半導体電子工学 I の基礎 ( 復習 ) 11/24/'10 2 10 月 13 日 pn 接合ダイオード (1) 3 10 月 20 日 4 10 月 27 日 5 11 月 10 日 pn 接合ダイオード (2) pn 接合ダイオード (3)

More information

Microsoft PowerPoint - H30パワエレ-3回.pptx

Microsoft PowerPoint - H30パワエレ-3回.pptx パワーエレクトロニクス 第三回パワー半導体デバイス 平成 30 年 4 月 25 日 授業の予定 シラバスより パワーエレクトロニクス緒論 パワーエレクトロニクスにおける基礎理論 パワー半導体デバイス (2 回 ) 整流回路 (2 回 ) 整流回路の交流側特性と他励式インバータ 交流電力制御とサイクロコンバータ 直流チョッパ DC-DC コンバータと共振形コンバータ 自励式インバータ (2 回 )

More information

untitled

untitled /, S=1/2 S=0 S=1/2 - S// m H m H = S G e + + G Z (t) 1 0 t G Z (t) 1 0 t G Z (t) 1 0 t SR G Z (t) = 1/3 + (2/3)(1-2 t 2 )exp(- 2 t 2 /2) G Z (t) 1-1/3 1/3 0 3/ 3/ t G Z (t)

More information

1 158 14 2 8 00225 2 1.... 3 1.1... 4 1.2... 5 2.... 6 2.1...7 2.2... 8 3.... 9 3.1... 10 3.2... 16 4.... 17 4.1... 18 4.2... 20 4.3... 22 5.... 23 5.1... 24 5.2... 28 5.3... 34 5.4... 37 5.5... 39 6....

More information

untitled

untitled g g/cm3 g / cm3 g g g g/cm3 cm2 kv

More information

スライド 1

スライド 1 劣化診断技術 ビスキャスの開発した水トリー劣化診断技術について紹介します 劣化診断技術の必要性 電力ケーブルは 電力輸送という社会インフラの一端を担っており 絶縁破壊事故による電力輸送の停止は大きな影響を及ぼします 電力ケーブルが使用される環境は様々ですが 長期間 使用環境下において性能を満足する必要があります 電力ケーブルに用いられる絶縁体 (XLPE) は 使用環境にも異なりますが 経年により劣化し

More information

PDF

PDF 1 1 1 1-1 1 1-9 1-3 1-1 13-17 -3 6-4 6 3 3-1 35 3-37 3-3 38 4 4-1 39 4- Fe C TEM 41 4-3 C TEM 44 4-4 Fe TEM 46 4-5 5 4-6 5 5 51 6 5 1 1-1 1991 1,1 multiwall nanotube 1993 singlewall nanotube ( 1,) sp 7.4eV

More information

Microsoft PowerPoint - tft.ppt [互換モード]

Microsoft PowerPoint - tft.ppt [互換モード] 薄膜トランジスター 九州大学大学院 システム情報科学研究科 服部励治 薄膜トランジスターとは? Thin Film Transistor: TFT ソース電極 ゲート電極 ドレイン電極ソース電極ゲートドレイン電極 n poly 電極 a:h n n ガラス基板 p 基板 TFT 共通点 電界効果型トランジスター nmosfet 相違点 誘電膜上に作成される スタガー型を取りうる 薄膜トランジスター

More information

2011721 Potential energy (in MeV) 10 5 0 N. Bohr and J.A. Wheeler (1939) Liquid Drop Model 92 U 98 Cf G. Flerov and K. Petrjzak Leningrad 1940 10 16 years 22 years later. Microscopic Theory Models: Macro-microscopic

More information

実験 解析方法実験は全て BL41XU で行った 初めに波長 0.5A 1.0A の条件化で適切な露光時間をそれぞれ決定した ( 表 1) 続いて同一の結晶を用いてそれぞれの波長を用いてデータを収集し そのデータの統計値を比較した ( 表 2) データの解析は HKL2000/Scalepack と

実験 解析方法実験は全て BL41XU で行った 初めに波長 0.5A 1.0A の条件化で適切な露光時間をそれぞれ決定した ( 表 1) 続いて同一の結晶を用いてそれぞれの波長を用いてデータを収集し そのデータの統計値を比較した ( 表 2) データの解析は HKL2000/Scalepack と 課題名生体超分子チトクロム酸化酵素の高分解能 X 線構造解析課題番号 2006B1683 利用ビームライン BL41XU 大阪大学蛋白質研究所所属博士後期過程 2 年菅倫寛 目的および背景生物は好気的条件下では呼吸によってエネルギーを得ている ミトコンドリア内では ATP の合成が 40% 以上という極めて高いエネルギー変換効率で行われている チトクロム酸化酵素はミトコンドリア内の呼吸鎖末端に位置する巨大膜蛋白質で

More information

mthesis.dvi

mthesis.dvi Study of multiple electron transfer processes to Highly charged ions with microcapillary targets : 96941 2001/01/19 1 5 1.1... 5 1.2... 6 1.2.1... 6 1.2.2 Classical over barrier(cob)... 7 1.2.3... 8 1.3...

More information

untitled

untitled JAIMA 2008 9 3 13:0017:30 201 XPS AES 13001310 13101340 13401410 14101440 14401510 15101520 15201540 15401620 16201700 17001720 17201730 TDK -94- KK X X AlK1486.6eV 0.85eV MgK1253.6eV 0.7eV -95- 20eV2,500eV

More information

53nenkaiTemplate

53nenkaiTemplate デンドリマー構造を持つアクリルオリゴマー 大阪有機化学工業 ( 株 ) 猿渡欣幸 < はじめに > アクリル材料の開発は 1970 年ごろから UV 硬化システムの確立とともに急速に加速した 現在 UV 硬化システムは電子材料において欠かせないものとなっており その用途はコーティング 接着 封止 パターニングなど多岐にわたっている アクリル材料による UV 硬化システムは下記に示す長所と短所がある

More information

F 1 2 dc dz ( V V V sin t 2 S DC AC ) 1 2 dc dc 1 dc {( VS VDC ) VAC} ( VS VDC ) VAC sin t VAC cos 2 t (3.2.2) 2 dz 2 dz 4 dz 静電気力には (3.2.2) 式の右

F 1 2 dc dz ( V V V sin t 2 S DC AC ) 1 2 dc dc 1 dc {( VS VDC ) VAC} ( VS VDC ) VAC sin t VAC cos 2 t (3.2.2) 2 dz 2 dz 4 dz 静電気力には (3.2.2) 式の右 3-2 ケルビンプローブフォース顕微鏡による仕事関数の定量測定 3-2-1 KFM の測定原理ケルビンプローブフォース顕微鏡 (Kelvin Force Microscopy: KFM) は ケルビン法という測定技術を AFM に応用した計測手法で 静電気力によるプローブ振動の計測を利用して プローブとサンプルの仕事関数差を測定するプローブ顕微鏡の手法である 仕事関数というのは 金属の表面から電子を無限遠まで取り出すのに必要なエネルギーであり

More information

<4D F736F F D208CF595A890AB F C1985F8BB389C88F CF58C9F8F6F8AED2E646F63>

<4D F736F F D208CF595A890AB F C1985F8BB389C88F CF58C9F8F6F8AED2E646F63> 光検出器 pin-pd 数 GHzまでの高速応答する光検出器に pin-フォトダイオードとアバランシェフォトダイオードがある pin-フォトダイオードは図 1に示すように n + 基板と低ドーピングi 層と 0.3μm 程度に薄くした p + 層からなる 逆バイアスを印加して 空乏層を i 層全体に広げ 接合容量を小さくしながら光吸収領域を拡大して高感度にする 表面より入射した光は光吸収係数 αによって指数関数的に減衰しながら光励起キャリアを生成する

More information

研究成果報告書(基金分)

研究成果報告書(基金分) 様式 C-19 F-19 Z-19( 共通 ) 1. 研究開始当初の背景国内外のエネルギー問題に対応するため, 革新的な省 創エネルギーデバイス ( 低消費電力の単電子デバイスや超高効率太陽電池など ) の実現が求められている. そのためには, 機能上重要なビルディングブロックである低次元半導体ナノ材料 ( 量子ドット, 量子細線, 量子井戸など ) の規則配列構造を構築する必要がある. 低次元半導体ナノ材料を決められたサイズ

More information

Outline I. Introduction: II. Pr 2 Ir 2 O 7 Like-charge attraction III.

Outline I. Introduction: II. Pr 2 Ir 2 O 7 Like-charge attraction III. Masafumi Udagawa Dept. of Physics, Gakushuin University Mar. 8, 16 @ in Gakushuin University Reference M. U., L. D. C. Jaubert, C. Castelnovo and R. Moessner, arxiv:1603.02872 Outline I. Introduction:

More information

厚生労働省委託事業 「 平成25年度 適切な石綿含有建材の分析の実施支援事業 」アスベスト分析マニュアル1.00版

厚生労働省委託事業 「 平成25年度 適切な石綿含有建材の分析の実施支援事業 」アスベスト分析マニュアル1.00版 クリソタイル標準試料 UICC A 1 走査型電子顕微鏡形態 測定条件等 :S-3400N( 日立ハイテクノロジーズ )/BRUKER-AXS Xflash 4010) 倍率 2000 倍 加速電圧 5kv 162 クリソタイル標準試料 UICC A 2 走査型電子顕微鏡元素組成 cps/ev 25 20 15 C O Fe Mg Si Fe 10 5 0 2 4 6 8 10 12 14 kev

More information

2011 年度第 41 回天文 天体物理若手夏の学校 2011/8/1( 月 )-4( 木 ) 星間現象 18b 初代星形成における水素分子冷却モデルの影響 平野信吾 ( 東京大学 M2) 1. Introduction 初代星と水素分子冷却ファーストスター ( 初代星, PopIII) は重元素を

2011 年度第 41 回天文 天体物理若手夏の学校 2011/8/1( 月 )-4( 木 ) 星間現象 18b 初代星形成における水素分子冷却モデルの影響 平野信吾 ( 東京大学 M2) 1. Introduction 初代星と水素分子冷却ファーストスター ( 初代星, PopIII) は重元素を 2011 年度第 41 回天文 天体物理若手夏の学校 2011/8/1( 月 )-4( 木 ) 星間現象 18b 初代星形成における水素分子冷却モデルの影響 平野信吾 ( 東京大学 M2) 1. Introduction 初代星と水素分子冷却ファーストスター ( 初代星, PopIII) は重元素を含まない原始ガスから形成される 宇宙で最初に誕生する星である 初代星はその後の星形成や再電離など宇宙初期の天文現象に強く関係し

More information

Drift Chamber

Drift Chamber Quench Gas Drift Chamber 23 25 1 2 5 2.1 Drift Chamber.............................................. 5 2.2.............................................. 6 2.2.1..............................................

More information

スライド 1

スライド 1 Matsuura Laboratory SiC SiC 13 2004 10 21 22 H-SiC ( C-SiC HOY Matsuura Laboratory n E C E D ( E F E T Matsuura Laboratory Matsuura Laboratory DLTS Osaka Electro-Communication University Unoped n 3C-SiC

More information

EOS: 材料データシート(アルミニウム)

EOS: 材料データシート(アルミニウム) EOS EOS は EOSINT M システムで処理できるように最適化された粉末状のアルミニウム合金である 本書は 下記のシステム仕様により EOS 粉末 (EOS art.-no. 9011-0024) で造形した部品の情報とデータを提供する - EOSINT M 270 Installation Mode Xtended PSW 3.4 とデフォルトジョブ AlSi10Mg_030_default.job

More information

<8B5A8F70985F95B632936EE7B22E696E6464>

<8B5A8F70985F95B632936EE7B22E696E6464> 47 Electrical Discharge Truing for Electroplated Diamond Tools Koji Watanabe Hisashi Minami Hatsumi Hiramatsu Kiyonori Masui (211 7 8 ) Electroplated diamond tools are widely used for grinding because

More information

結晶粒と強度の関係

結晶粒と強度の関係 SPring-8 金属材料評価研究会 218 年 1 月 22 日 @AP 品川 転載不可 アルミニウムにおける 置換型固溶元素が引張変形中の 転位密度変化に及ぼす影響 兵庫県立大学材料 放射光工学専攻〇足立大樹 背景 放射光を用いた In-situ XRD 測定により 変形中の転位密度変化を高時間分解能で測定可能となっており 結晶粒径による転位増殖挙動の変化について明らかにしてきた * * H.

More information

特-7.indd

特-7.indd Mechanical Properties and Weldability of Turbine Impeller Materials for High Temperature Exhaust Gas Turbocharger 1 000 1 050 246 IN100 The increase in environmental awareness in recent years has led to

More information

MM1_03_Diffusion

MM1_03_Diffusion 第 3 章拡散 3.1 はじめに 3 回生 材料組織学 1 緒言 コップに入れた水に赤インクを 1 滴落とすと インクが水の中に拡散して やがて色の区 別がなくなる こうした拡散現象 (diffusion) は 固体結晶の中でも起きている 前章で論じ た固体の相変態の多くにおける構造変化は 固体中の原子の拡散により生じる ( 拡散型相変 態 ) 金属を塑性変形した後 焼き鈍し熱処理 (annealing)

More information

SiC SiC QMAS(Quantum MAterials Simulator) VASP(Vienna Ab-initio Simulation Package) SiC 3C, 4H, 6H-SiC EV VASP VASP 3C, 4H, 6H-SiC (0001) (11 20) (1 1

SiC SiC QMAS(Quantum MAterials Simulator) VASP(Vienna Ab-initio Simulation Package) SiC 3C, 4H, 6H-SiC EV VASP VASP 3C, 4H, 6H-SiC (0001) (11 20) (1 1 QMAS SiC 7661 24 2 28 SiC SiC QMAS(Quantum MAterials Simulator) VASP(Vienna Ab-initio Simulation Package) SiC 3C, 4H, 6H-SiC EV VASP VASP 3C, 4H, 6H-SiC (0001) (11 20) (1 100) MedeA SiC QMAS - C Si (0001)

More information

¼§À�ÍýÏÀ – Ê×ÎòÅŻҼ§À�¤È¥¹¥Ô¥ó¤æ¤é¤®

¼§À�ÍýÏÀ – Ê×ÎòÅŻҼ§À�¤È¥¹¥Ô¥ó¤æ¤é¤® email: takahash@sci.u-hyogo.ac.jp Spring semester, 2012 Outline 1. 2 / 26 Introduction : (d ) : 4f 1970 ZrZn 2, MnSi, Ni 3 Al, Sc 3 In Stoner-Wohlfarth Moriya-Kawabata (1973) 3 / 26 Properties of Weak

More information

Probit , Mixed logit

Probit , Mixed logit Probit, Mixed logit 2016/5/16 スタートアップゼミ #5 B4 後藤祥孝 1 0. 目次 Probit モデルについて 1. モデル概要 2. 定式化と理解 3. 推定 Mixed logit モデルについて 4. モデル概要 5. 定式化と理解 6. 推定 2 1.Probit 概要 プロビットモデルとは. 効用関数の誤差項に多変量正規分布を仮定したもの. 誤差項には様々な要因が存在するため,

More information

LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ

LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ 8 + J/ψ ALICE B597 : : : 9 LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ 6..................................... 6. (QGP)..................... 6.................................... 6.4..............................

More information

untitled

untitled (a) (b) (c) (d) (e) (f) (g) (f) (a), (b) 1 He Gleiter 1) 5-25 nm 1/2 Hall-Petch 10 nm Hall-Petch 2) 3) 4) 2 mm 5000% 5) 1(e) 20 µm Pd, Zr 1(f) Fe 6) 10 nm 2 8) Al-- 1,500 MPa 9) 2 Fe 73.5 Si 13.5 B 9 Nb

More information

学位論文題目 Title 氏名 Author 専攻分野 Degree 学位授与の日付 Date of Degree Resource Type 報告番号 Report Number URL Kobe University Repository : Thesis 有機強誘電体薄膜の構造 配向制御および焦電デバイス応用に関する研究 黒田, 雄介 博士 ( 工学 ) 2013-03-25 Thesis or

More information

PowerPoint Presentation

PowerPoint Presentation 材料科学基礎 Ⅰ 材料科学の枠組み 元素の結晶構造 いろいろな金属間化合物, 合金の結晶 いろいろなセラミックスの結晶とイオン結晶 格子, 晶系, 点群 X 線と結晶 物質の性質と対称性 結晶の欠陥と組織 1 hcp (hexagonal close packed structure) 2 fcc (face centered cubic structure) 3 hcp の軸比 (c/a) について

More information

Microsoft PowerPoint - S-17.ppt

Microsoft PowerPoint - S-17.ppt In situ XRD および XAFS を用いた燃料電池アノード触媒電極の劣化解析 日本電気 ( 株 ) 松本匡史 m-matsumoto@jv.jp.nec.com 直接型メタノール燃料電池の PtRu アノードにおいて Ru は触媒被毒の原因である CO の酸化を促進する役割を持ち 電池出力の向上に不可欠な要素である しかし 長時間運転時には Ru が溶出し 性能が劣化する Ru 溶出は 運転時の

More information

<8B5A8F7095F18D90349EC490EC2E696E6464>

<8B5A8F7095F18D90349EC490EC2E696E6464> 29 Low Temperature Plasma Nitriding and arburizing for Austenitic Stainless Steel Motoo Egawa Nobuhiro Ueda (2011 7 11 ) 1. SUS304, SUS316 1) 400 (S ) 2) Bell 3) S (extended austenite) S S S ( 18 19 )

More information

4/15 No.

4/15 No. 4/15 No. 1 4/15 No. 4/15 No. 3 Particle of mass m moving in a potential V(r) V(r) m i ψ t = m ψ(r,t)+v(r)ψ(r,t) ψ(r,t) = ϕ(r)e iωt ψ(r,t) Wave function steady state m ϕ(r)+v(r)ϕ(r) = εϕ(r) Eigenvalue problem

More information