Mixed Signal SOC Circuit Design

Size: px
Start display at page:

Download "Mixed Signal SOC Circuit Design"

Transcription

1 AT-2. 微細化プロセスでのアナログ設計技術 松澤昭 東京工業大学 大学院理工学研究科 A. Matsuzawa, Titech 1

2 AT-2. 微細化プロセスでのアナログ設計技術 13:00-13:35: 微細 低電圧 SoC 時代のアナログ技術松澤昭 ( 東工大 ) 13:35-14:10 : 高速 低電力 A/D 変換技術 ( 予稿なし ) 川人祥二 ( 静岡大 ) 14:10-14:20 : 休憩 14:20-14:55 : SoC 用アナログ回路コア設計道正志郎 ( 松下電器 ) 14:55-15:30 : アナログ RF 用微細デバイス技術岡田健一 ( 東工大 ) 15:30-16:05 : SoCにおけるデジタルノイズ永田真 ( 神戸大 ) A. Matsuzawa, Titech 2

3 現代におけるアナログ技術の役割 A. Matsuzawa, Titech 3

4 デジタルネットワーク社会 デジタルネットワーク化に伴いアナログ RF 混載技術が重要になっている DAB IEEE 1394, USB, Blue tooth, Wireless LAN CS/BS Digital TV ITS ADSL, FTTH Network HII Station Digital TV Ethenet Home network W-CDMA Home Server DVC DVD A. Matsuzawa, Titech 4

5 アナ デジ混載 CMOS LSI の一例 5G RF LAN 様々なアナ デジ混載 LSIが必要とされている AFE for Digital Camera 12b 50MHz ADC 2ch 12b 50MHz DAC 2ch Digital network 1394b (1GHz) 12b 20MHz ADC+AGC AFE for ADLS 12b 20MHz ADC+DAC 2GHz RF CMOS A. Matsuzawa, Titech 5

6 アナ デジ混在信号処理 アナ デジ混在型信号処理は殆どのシステムに用いられている SoC への搭載が必須 デジタル放送 通信 ネットワーク (DTV, ADSL, Ethernet, USB など ) デジタル記録 (HDD, DVD, DVC など ) デジタルカメラやディスプレーなどの入出力 Variable Variable Gain Gain Amp. Amp. Analog Analog Filter Filter A A to to D D Converter Converter Digital Digital FIR FIR Filter Filter Viterbi Viterbi Error Error Correction Correction Data Out DVDのピックアップ信号 Data In ( 多くの誤りを含む (Erroneous) ) Pickup signal Voltage Voltage Controlled Controlled Oscillator Oscillator Clock Clock Recovery Recovery Analog circuit Data Out アナ デジ信号処理後の信号 (No error) Digital circuit A. Matsuzawa, Titech 6

7 現代のアナログの役割 現代のアナログはデジタルが絶対にできない物理世界とのやりとりを受け持つ デジタル処理が現実世界でうまくゆくようにサポートする役割 クロック発生 アナログ : Physical aspects デジタル : Meta-physics 絶対タイミングの発生 外部世界 無線通信 ( 心臓?) 有線通信 ( 光 電気 ) ( 脳 ) デジタル回路信号処理 制御 アナログインタフェース 記録画像 映像 神経器官 ( 目 耳 口 ) 電源エネルギーの供給絶対電圧の発生消化器官 循環器官 オーディオ 駆動系 センサー系 A. Matsuzawa, Titech 7

8 アナ デジ混載 SoC:DVD の完全ワンチップ化 高性能アナログ回路を搭載した SoC が開発されている 0.13um, Cu 6Layer, 24MTr CPU1 System Controller VCO ADC Gm-C Filter PRML Read Channel CPU2 Front-End Servo DSP AV Decode Processor Okamoto, et al., ISSCC 2003 Back -End IO Processor Pixel Operation Processor Analog Front End A. Matsuzawa, Titech 8

9 SoC による部品削減効果 VLSI の進展により従来 3 チップ必要だったものが 1 チップに集積可能になった このためケース内部のボードは驚くほど簡単になっている これが性能向上とコストダウンに寄与している 2000 年モデル 2003 年モデル A. Matsuzawa, Titech 9

10 マルチスタンダード化 たくさんのワイアレス規格を携帯に実装する必要が出てくる The cellular phone needs 11 wireless standard in the future!! Multi-standards and multi chips IMT-2000 RF GSM RF IMT-2000 BB GSM BB Current Bluetooth RF GPS RF Bluetoth BB GPS BB MCU Power Unification Future Yrjo Neuvo, ISSCC 2004, pp.32 Reconfigurable RF RF 部分の統合 DSP BB 部分の統合 A. Matsuzawa, Titech 10

11 ワイアレス SoC の時代へ アナログ RF 回路から微細 低電圧 CMOS を用いたデジタル型アーキテクチャへ Wireless LAN, a/b/g 0.25um, 2.5V, 23mm 2, 5GHz Discrete-time Bluetooth 0.13um, 1.5V, 2.4GHz SoC 化デジタル化低電圧化 アナログ RF 回路 M. Zargari (Atheros), et al., ISSCC 2004, pp.96 K. Muhammad (TI), et al., ISSCC2004, pp A. Matsuzawa, Titech 11

12 微細 低電圧 SoC の アナログの課題と対策 A. Matsuzawa, Titech 12

13 アナログの課題 1V 程度の低電圧動作 ばらつきの増大 面積縮小困難によるコスト増 開発 TAT の増大 デジタルノイズ A. Matsuzawa, Titech 13

14 ワイアレスシステム用 ADC mW ワイアレスシステムには高性能 ADC が求められる 信号帯域 (MHz) UWB 200mW 30mW 現行パイプライン型 今後の方向 WCDMA 40mW g b CDMA mW 現行の ADC はほとんどが 3V, 2.5V, 1.8V などの 1V 以上の電圧を用いている 現行 ΣΔ 型 既学会発表 0.1 GSM 分解能 (bit) A. Matsuzawa, Titech 14

15 今後の SoC の動作電圧 今後は内部コアTrでも1V 前後の動作電圧で推移 急激には低下しない コアトランジスタを用いてもかなりのアナログ回路は構成可能と思われる ITRS 2003より Design Rule 動作電圧 (V) Analog High Analog Low Digital High デザインルール (nm) Digital Low (Low leak) A. Matsuzawa, Titech 15

16 低電圧アナログ回路 低電圧アナログ回路もできないことはないが 1.2V V dd 1.2V V dsatp3 V dsatp1 V dd V bp1 V dsatp3 V dsatp1 V bp1 V bp3 V in+ V dsatp4 V tp V dsatp2 V out- V in+ V dsatp4 V tp V dsatp2 V bp2 V dsatp5 V out- v in- V dsatn2 V v in- out+ V dsatn2 V out+ V o =1V pp V bn2 V o =1.6V pp V dsatv1 V dsatn3 V bn1 V dsatv1 V bn1 DC gain=70db GBW=10GHz 90nmCMOS DC gain=90db GBW=5GHz 90nmCMOS A. Matsuzawa, Titech 16

17 90nm 技術の効果 低電圧動作問題を解決すれば 90nm を用いて 8bit で 1GHz, 10bit で 800MHz 程度の ADC が実現可能かもしれない 0.25um 技術では 200MHz の変換速度が限界である 1.20E+10 Ids-GBW 特性 パイプライン型 ADC の変換周波数は GBW の約 1/ E E+09 90nm プロセス GBW: 10GHz 変換周波数 : 1GHz GBW[Hz] 6.00E E E um プロセス GBW: 2GHz 変換周波数 : 200MHz 0.00E E E E E E-03 Ids[A] 90nm(CL=100fF) 90nm(CL=200fF) 0.25μm(CL=100fF) 0.25μm(CL=200fF) A. Matsuzawa, Titech 17

18 低電圧動作の本質的な課題 アナログ回路の熱雑音は kt/c ノイズで規定される ノイズ電力は容量に反比例する 信号振幅が小さくなると必要容量が大きくなり 高速化 低電力化を阻害する SNR ( db ) = 2 CVFS 10 log 8nkT 2 v n = kt C V ref =1.0V とすると 10bit: 0.1pF 12bit: 2pF 14bit: 30pF V ref =2.0V とすると 10bit: 0.025pF 12bit: 0.5pF 14bit: 8pF A. Matsuzawa, Titech 18

19 ΣΔ 型 ADC ΣΔ 変調はノイズを高域に拡散できるため高速動作が実現できれば高 SNR が得られる 5 次の ΣΔ 型 ADC 動作周波数 / 信号帯域を 64 倍に取れば 80dB 以上の SNR が得られる ΣΔ 変調はノイズを高域に拡散できる 信号伝達関数 (STF) ノイズ伝達関数 (NTF) A. Matsuzawa, Titech 19

20 MOS の V T ばらつきと 1/f ノイズ MOS の V T ばらつき係数は飽和する 1/f ノイズ係数は穏やかに減少 ΔV TH ( mv ) 1 2 T ox ( nm) + 2 LW ( μm) TOX V flick ( uv / Hz ) = 2 LW ( μm 2 (nm) ) f ( Hz ) C. H. Diaz, et al., CMOS Technology for MS/RF SoC, IEEE Tran. Electron Devices, Vol. 50, No.3, March, A. Matsuzawa, Titech 20

21 微細化とノイズ ドレイン抵抗 微細化とともに熱雑音係数は増大微細化とともにドレイン抵抗が下がり利得が取りにくくなる 1/5! A.J. Annema, JSC 2005, pp A. Matsuzawa, Titech 21

22 CMOS の高周波化とアナログの困難さ 微細化により MOS の高周波特性は向上し 高周波応用が可能になった しかし 電源電圧の低下はダイナミックレンジの低下を招き アナログ混載を難しくしている Frequency (Hz) 100G 50G 20G 10G 5G 2G 0.35 um Cellular Phone 0.25 um 0.18 um CDMA 0.13 um f T 5GHz W-LAN f T : CMOS f T : Bipolar (w/o SiGe) /10 (CMOS ) RF circuits f T /60 (CMOS ) Digital circuits Performance (Log) Integration Speed 1 2 L 1 L 1.5 1G 500M 200M 100M Year IEEE 1394 D R/C for HDD Scaling 1 Design Rule A. Matsuzawa, Titech 22 (Log) Dynamic range 1.5 L

23 ばらつきの抑制 : デジタル補正技術 微細化技術を用いると周波数特性が向上し 低消費電力になるが ばらつきが増大する そこでデジタル補正技術でこれに対処することが盛んになっている 微細化によりデジタル部のオーバーヘッドが小さくなっている Y. Cong and R. L. Geiger, Iowa state university, ISSCC b 100MS/s DAC 1.5V, 17mW, 0.1mm 2, 0.13um 0.5 LSB INL, SFDR=82dB at 0.9MHz, 62dB at 42.5MHz +/- 9 LSB +/- 0.4 LSB 面積 : 1/50 消費電力 : 1/20 Digital Calibration A. Matsuzawa, Titech 23

24 面積縮小困難によるコスト増 アナログ回路 特にインダクタなどの受動部品の面積の縮小は困難だがこれを放置すると微細化とともにコストアップを生じてしまう 微細化 SoC 時代にはアナログ面積の削減が大きな課題である I/O Analog 0.35um 0.25um 0.18um 0.13um Chip area Digital A. Matsuzawa, Titech (0.35um : 1) Wafer cost increases 1.3x for one generation 0.35um 0.25um 0.18um 0.13um Chip cost

25 製品サイクルの短期化 製品サイクルの短期化に対応した迅速かつ正確なアナログ開発が求められる 12 Mon 12 Mon 12 Mon 6 Mon 6 Mon 3 Mon Sales (A.U) 6x DVD ROM 8x DVD ROM 16x DVD ROM 12x DVD ROM Combo Combo First DVD ROM 2.6G RAM 2 nd G 2.6G RAM 4.7G RAM 97 Time A. Matsuzawa, Titech 25

26 アナログ EDA の階層 統合化された設計フローとデータベースが必要 上流 混在システム設計 フォワードパス 設計フロー バックパス データベース 機能モデル IP IP アナ デジ混載 SoC 開発体系 アナログ回路設計 デバイスパラメータ 下流 レイアウト設計 セル セル LPE LPEデータ ポストレイアウト検証 ( ノイズ検証を含む ) LSI 試作 A. Matsuzawa, Titech 26

27 アナログ機能記述言語を用いた設計 Example: Analog Front End chip for ADSL system. LNA Output driver Buffer Buffer D/A Filter Filter A/D VCXO cont. Control logic A. Matsuzawa, Titech 27

28 アナログ機能設計 アナログの場合も回路設計の前に機能設計を行う. Analog: Verilog-A Logic: Verilog-D Analog behavioral model A. Matsuzawa, Titech 28

29 仮想 LSI を用いたアナデジ混載システム検証 回路設計の前にアナログを含むシステムの機能検証を十分に行うことが重要 Matlab DMT modulation Matlab DMT demodulation Conste llation ENC IFFT FIR Virtual LSI Verilog-AMS FIR FFT Conste llation DEC Matlab is used as a soft DSP > 66dB Q I MTPR TEST (DMT Carrier hole) QAM constellation A. Matsuzawa, Titech 29 f

30 デジタルノイズ アナ デジ混載 SoC 時代にはデジタルノイズ対策が重要になる デジタル LSI 内部では論理ゲート回路群が高密度に配置配線大規模回路動作により電源 / グラウンド配線電位が変動 A. Matsuzawa, Titech 30

31 SoC 開発における様々な技術分野の力の結集 SoC の開発はシステムから工場までの最適化が必要である Reliability High Idd Low Ioff Low-k Cu STI Analog Cell height HP Analog HP I/O Device Process Cell Lib. High yield Quick ramp-up Analog control Fab Mixed signal Clocking Power routing SoC Design Future demands, issues, and solutions Package Mixed signal Large system s verification System EDA Test POE Low inductance EMI sim Cross-talk sim Mixed signal sim Iddq test Wafer burn-in Mixed signal A. Matsuzawa, Titech 31

32 今後の方向性 A. Matsuzawa, Titech 32

33 ワイアレスシステム仕様の動向 ワイアレスシステムは広帯域 低ダイナミックレンジの方向へ 150 主なワイアレスシステムの信号帯域と位相ノイズ N-CDMA, 98 位相ノイズ 1MHz) PDC, 93 PCS, 95 GSM, 92 PHS, 95 技術動向 DECT, 92 W-CDMA 01 WLAN (.11b) 99 WLAN (.11a) 99 Bluetooth, 信号帯域 (MHz) A. Matsuzawa, Titech 33

34 まとめと今後の方向性 デバイス動向 微細化 高速 高周波 広帯域化 低電圧化 ばらつき ノイズの増大 面積コストアップ 回路動向 高速 高周波 広帯域化 高利得困難 高ダイナミックレンジ困難 高精度化困難 システム動向 高速 高周波 広帯域化 低ダイナミックレンジ化 マルチバンド マルチスタンダード化 SoC 化 低コスト化 省面積化 短開発 TAT テスト容易化 ノイズ耐性強化 今後の方向性 デジタル技術の徹底活用 デジタル制御 補正の活用 ( ばらつきや不安定性の克服と最適化 ) ΣΔ 変調技術による高精度化 本質的に必要なアナログのみを残す インダクタなどの受動部品の削減 微細化による高速 高周波 広帯域化を活かす A. Matsuzawa, Titech 34

35 最近のワイアレス LSI アナログ RF 回路から微細 低電圧 CMOS を用いたデジタル型アーキテクチャへ Wireless LAN, a/b/g 0.25um, 2.5V, 23mm 2, 5GHz Discrete-time Bluetooth 0.13um, 1.5V, 2.4GHz SoC 化デジタル化低電圧化 アナログ RF 回路 アナログリッチでインダクタだらけの設計 M. Zargari (Atheros), et al., ISSCC 2004, pp.96 アナログを最小にし デジタルを活用した設計 K. Muhammad (TI), et al., ISSCC2004, pp A. Matsuzawa, Titech 35

36 今後のワイアレスシステム アナログ回路をできるだけデジタル回路に置き換える方向 90nm 程度の微細 低電圧 CMOS を用いた高性能 性能可変 ADC の開発が鍵になる デジタルアーキテクチャ LNA Sampled data LPF 性能可変 ADC LPF Quantizer Digital processing Mixer LPF 1-5GHz OSC Synthesizer 1-5 GHz K. Muhammad (TI), et al., ISSCC2004, pp.268 サンプリングミキサー A. Matsuzawa, Titech 36

37 デジタルアーキテクチャ ワイアレスシステムのデジタル化は急速に進展している この開発は単なるデジタル技術ではだめで 微細 低電圧 超高速アナログ技術が不可欠である デジタル PLL デジタル VCO ポーラー TX TI, ISSCC 2005, pp A. Matsuzawa, Titech 37

38 アナデジ混載技術の方向性 現在はアナログがデジタル技術を支えている 今後はデジタル技術をアナログのために活用することが重要 アナ デジ混在エッグ デジタルの殻 : 一見不要だがこれがないと卵を食べられない アナ デジ混在信号処理 ΣΔ 変調技術デジタル制御 補正 アナログの黄身と白身 : おいしいがデリケート A. Matsuzawa, Titech 38

Mixed Signal SOC Circuit Design

Mixed Signal SOC Circuit Design 1 STRJ WS: March 4, 2004 STRJ WS: March 4, 2004 2 STRJ WS: March 4, 2004 3 STRJ WS: March 4, 2004 4 DVD TV 12 LSI () STRJ WS: March 4, 2004 5 PC, Flash, CCD 2003.10.27pp.129-130 STRJ WS: March 4, 2004

More information

スライド タイトルなし

スライド タイトルなし 第 4 世代無線通信に向けた アナログ回路技術 東京工業大学 大学院理工学研究科 電子物理工学専攻 松澤昭 005.04.5 A. Matsuzawa,Titech 内容 ワイアレスシステムの動向 パイプライン型 ADC ΣΔ 型 ADC 低電圧アナログ回路 微細化 CMOSデバイスとアナログ特性 今後の方向性 デジタルアーキテクチャ 005.04.5 A. Matsuzawa,Titech ワイアレスシステムの動向

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

スライド タイトルなし

スライド タイトルなし 微細 低電圧デバイスの課題と デジタルアーキテクチャへの期待 東京工業大学 大学院理工学研究科 電子物理工学専攻 松澤昭 005.06. A. Matsuzawa,Titech 内容 ワイアレスシステムの動向 パイプライン型 ADC 微細化 CMOSデバイスとアナログ特性 低電圧動作とアナログ回路性能 ΣΔ 型 ADC: 高精度化へのシステム的アプローチ 今後の方向性 まとめ 005.06. A.

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

Mixed Signal SOC Circuit Design

Mixed Signal SOC Circuit Design デジタル情報家電用 SoC 技術の動向とテクノロジーへのインパクト 松澤昭 東京工業大学 大学院理工学研究科 電子物理工学専攻 1 内容 デジタル情報家電の時代 デジタル情報家電用 SoC メディアプロセッサー技術 ローパワー技術 アーキテクチャと消費電力 アナログ デジタル混載技術 SoC の開発体系 テクノロジーへのインパクト STRJ WS: March 4, 2004, WG6 2 内容 デジタル情報家電の時代

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

スライド 1

スライド 1 平成 22 年 3 月電子回路研究会 ECT-10-046 開ループアンプを用いた パイプライン ADC の Split ADC 構成による バックグラウンド自己校正法 八木拓哉上森聡丹陽平伊藤聡志 ( 群馬大学 ) 松浦達治臼井邦彦 ( ルネサステクノロジ ) 小林春夫 ( 群馬大学 ) アウトライン 2 研究背景と目的 パイプライン AD 変換器のバックグラウンド自己校正法の提案 3 次の非線形性の補正方法

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

Microsoft PowerPoint - 光ネットワーク産業_ pptx

Microsoft PowerPoint - 光ネットワーク産業_ pptx 光通信の進展に向けた集積回路技術 松澤昭 東京工業大学大学院理工学研究科 内容 1 通信 記録システム技術の発展方向 集積回路技術の最近の進展 超高速 超高周波 CMOS 集積回路の開発例 60GHz CMOS トランシーバ LSI の開発 超高速 ADC について 高速信号伝送と多値化および ADC 性能 2 伝送回路のデータレートは多値化数 N と帯域 BW の積に比例する帯域が固定されると,

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

スライド 1

スライド 1 アクティブインダクタを用いた コモンモードノイズ低減フィルタ 北海道大学大学院情報科学研究科准教授池辺将之 研究背景 アナログ回路におけるインダクタ 高インダクタ部品は 外付けでサイズが大きい オンチップ用途では インダクタンスとQ 値が低い 開発目標 アクティブインダクタを用いた 小面積 チューナブルな有用回路の実現 ( 本提案 ) 増幅機能も有するコモンモードノイズ低減フィルタ アクティブインダクタ回路

More information

<4D F736F F F696E74202D F53434F50458DC58F4990AC89CA95F18D905F C835B83938E9197BF5F938C8D4891E55F89768CA48

<4D F736F F F696E74202D F53434F50458DC58F4990AC89CA95F18D905F C835B83938E9197BF5F938C8D4891E55F89768CA48 081503014 成果発表会資料 Oct 4, 2011 1 次世代超微細 CMOS プロセスに適した高マイクロ波帯デジタル RF 回路技術の研究開発 (081503014) このたびの東日本大震災により被災された皆様方に心よりお見舞い申し上げますとともに 皆さまの安全と一日も早い復旧を心よりお祈り申し上げます 研究代表者益一哉 Kazuya Masu 東京工業大学ソリューション研究機構 Solutions

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H

V s d d 2 d n d n 2 n R 2 n V s q n 2 n Output q 2 q Decoder 2 R 2 2R 2R 2R 2R A R R R 2R A A n A n 2R R f R (a) 0 (b) 7.4 D-A (a) (b) FET n H ON p H 3 ( ) 208 2 3 7.5 A-D/D-A D-A/A-D A-D/D-A CCD D () ( ) A-D (ADC) D-A (DAC) LSI 7.5. - 7.4(a) n 2 n V S 2 n R ( ),, 2 n i i i V S /2 n MOS i V S /2 n 8 256 MOS 7.4(b) DA n R n 2 2R n MOS 2R R 2R 2R OP OP

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 1) PLL( 位相ロック ループ ) 回路の基本と各部動作 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK)

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt

Microsoft PowerPoint - IEICE_Milli_matsu_ ppt 超高速 低電力 ADC 松澤昭宮原正也 東京工業大学 28.96 A. 内容 2 はじめに 6bit 超高速 ADCの動向 8bit 以上の超高速 ADCの動向 まとめ 28.96 A. ADC 応用の例 :DVD システム 3 DVD DVDでは再生された信号をAD 変換して イコライザーや誤り訂正をデジタル技術で行うことで信号品質を上げる ワイアレスシステムも基本的には同じ波形等価誤り訂正 Variable

More information

Microsoft PowerPoint - 【5】説明資料_池辺将之

Microsoft PowerPoint - 【5】説明資料_池辺将之 Time to digital converter の A/D 変換器への利用とその低電力化 国立大学法人北海道大学 大学院情報科学研究科 准教授池辺将之 背景 センシングされたアナログ情報をデジタル信号へ AD 変換器 (ADC) への要求 低電力 小面積 高速動作 Single-slope ADC に注目 シンプルな構成で小面積 Wikipedia: CMOS image sensor 課題 :

More information

デジタルカメラ用ISP:Milbeaut

デジタルカメラ用ISP:Milbeaut ISP Milbeaut Image Signal Processor: Milbeaut あらまし MilbeautISP Image Signal Processor 20 Mpixel Milbeaut6 MB91696AM MB91696AM Abstract Milbeaut is an image signal processor (ISP) that realizes a digital

More information

Microsoft PowerPoint - Nagano_Jisso_matsu_ ppt

Microsoft PowerPoint - Nagano_Jisso_matsu_ ppt システム集積回路技術の 発展と実装の役割 松澤昭 東京工業大学大学院理工学研究科 2008.12.02 長野実装フォーラム A. Matsuzawa 1 内容 SoC とその課題 実装技術への期待 まとめ 2008.12.02 長野実装フォーラム A. Matsuzawa 2 SoC とその課題 2008.12.02 長野実装フォーラム A. Matsuzawa 3 デジタル家電用 SoC の開発

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

QTC LSI Analog Timing Module QTC LSI

QTC LSI Analog Timing Module QTC LSI QTC chip CMOS 2006 1 27 QTC LSI Analog Timing Module QTC LSI QTC LSI QTC LSI Discriminator TDC PMT Signal Self Gate Q Charge and Discharge T Q T 電荷情報を時間に変換して TDC で AD 変換を行う QTC は内部にクロックを持たず Self gate で内部でタイミング信号を生成する

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

Microsoft Word - 電気学会_matsu_ doc

Microsoft Word - 電気学会_matsu_ doc XX-XX-XX RF-SoC の現状と今後の展開 松澤昭 ( 東京工業大学 ) Current Status and Future Prospective on RF-SoC Akira Matsuzawa, (Tokyo Institute of Technology) This paper discusses current status and future prospect of RF-SoC

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

Slide 1

Slide 1 CMOS イメージセンサ向けプローブカードに求められる 信号の高速化と低電源ノイズ要求に対する最近の取り組みについて Minoru Mikami, Electrical Design Engineer Formfactor Inc. SPG Group Agenda 1. Overview 2. CIS(CMOS Image Sensor) Probe Card History 3. MIPI D-PHY

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF 音声通信用ミキサ付き MHz 入力 45kHzFM IF 検波 IC 概要 外形 NJM59 は.8 V~9. Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 45kHz ( 標準 ) としています 発振器 ミキサ IF リミッタアンプ クワドラチャ検波 フィルタアンプに加えノイズ検波回路とノイズコンパレータを内蔵しています V 特徴 低電圧動作.8V~9.V

More information

スライド 1

スライド 1 CMOS : swk(at)ic.is.tohoku.ac.jp [ 2003] [Wong1999] 2 : CCD CMOS 3 : CCD Q Q V 4 : CMOS V C 5 6 CMOS light input photon shot noise α quantum efficiency dark current dark current shot noise dt time integration

More information

Microsoft PowerPoint - Presentation.ppt

Microsoft PowerPoint - Presentation.ppt サンプリングミキサを用いたワンセグ放送用 uner フロントエンドの研究 A sudy on he fron end for he uner using sampling mixer in ISDB-T 笹井重徳 小島貴志 馬上崇 李寧 倉科隆 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤研究室 目次 研究背景 サンプリングミキサワンセグ放送 サンプリングミキサ 結論 提案回路の特徴パラメータの決定

More information

Microsoft PowerPoint - 集積回路工学(11)_LP改_100112

Microsoft PowerPoint - 集積回路工学(11)_LP改_100112 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2//3 集積回路工学 () () 低消費電力設計 デバイスと回路設計 資料は松澤研のホームページ http://ssc.pe.titech.ac.jp にあります 2//3 集積回路工学 () 2 携帯電話 低消費電力技術無しでは携帯機器は実現しない!! 現在の携帯電話は万能の通信 A 機器である 携帯電話 (WCDMA/GSM)

More information

小林研究室2000年度の研究成果

小林研究室2000年度の研究成果 応用科学学会 電子回路と計測制御技術 群馬大学大学院工学研究科電気電子工学専攻小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 0277 (30) 1788 FAX: 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp 1 発表内容 アナログ電子回路と計測制御技術 AD 変換器計測制御機器のキーコンポーネント高性能化のためには計測制御技術が必要

More information

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討 第 回電気学会東京支部栃木 群馬支所合同研究発表会 ETT--7 遅延デジタルフィルタの分散型積和演算回路を用いた FPGA 実装の検討 易茹 * 立岩武徳 ( 群馬大学 ) 浅見幸司 ( 株式会社アドバンテスト ) 小林春夫 ( 群馬大学 ) 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp)

LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifi(jp) LMV851,LMV852,LMV854 LMV851/LMV852/LMV854 8 MHz Low Power CMOS, EMI Hardened Operational Amplifiers Literature Number: JAJSAM3 LMV851/LMV852/LMV854 8MHz CMOS EMI LMV851/LMV852/LMV854 CMOS IC 40 125 LMV851/

More information

Kanazawa Univ. 電子情報科学概論 集積回路工学研究室 MicroElectronics Research Lab. RF/ ミクストシグナル技術動向 電子機器需要からみた半導体市場予想 半導体技術動向の概要 無線通信のための RF/ ミクストシグナル技術概要 RF-

Kanazawa Univ. 電子情報科学概論 集積回路工学研究室 MicroElectronics Research Lab. RF/ ミクストシグナル技術動向 電子機器需要からみた半導体市場予想 半導体技術動向の概要 無線通信のための RF/ ミクストシグナル技術概要 RF- Kanazawa Univ. 電子情報科学概論 005.5.6 集積回路工学研究室 MicroElectronics Research Lab. RF/ ミクストシグナル技術動向 電子機器需要からみた半導体市場予想 半導体技術動向の概要 無線通信のための RF/ ミクストシグナル技術概要 RF-ID タグの動向 宿題 アナログ回路を必要とする分野 無線ネットワーク 有線ネットワーク 高速インターフェース

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx

Microsoft PowerPoint - 日経_リニア_提出_matsu131021rev.pptx アナログ技術の発展に向けて 松澤昭 東京工業大学大学院理工学研究科 1 TV, VTRのデジタル化とアナログ技術 今日のアナログ技術の開発 松澤 岡田研究室の紹介 60GHz CMOSトランシーバの開発 ADC, アナログ回路開発の今後 今後の発展に必要なもの まとめ 2 これまでの40 年間日本の民生機器メーカが行ってきたことは電子機器のデジタル化, 小型化 デジタル化に伴い,ADCなどのアナログ技術も発展

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

エミフィルによるノイズ対策 アプリケーション編

エミフィルによるノイズ対策 アプリケーション編 .pdf Noise Suppression by EMIFIL Application Guide Application Manual Cat.No.C35-2 .pdf .pdf .pdf 2 .pdf CD-ROM Power Supply CPU Gate Array RAM ROM Driver Driver Driver USB Chip Set Mouse Keyboard Display

More information

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧 デジアナ混載 IC ミックスド シグナル IC 設計の留意点 2005 年 5 月初版 2010 年 10 月改訂作成 : アナロジスト社森本浩之 まえがきデジタル アナログ混載 IC の回路本来の実力を引き出すためにはアナログ回路とデジタ ル回路の不要な干渉を抑える必要があり ノウハウを要します ですが十分な理解と注意の元で設 計を行えばさほど混載を恐れる必要もありません 用語 IP: Intellectual

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

Layout 1

Layout 1 Industrial communication センサーのデータにアクセスする ifm の IO-Link Digital connection technology for sensors とは? 今日のセンサーはシンプルな ON/OFF のセンサーから 大量のデータを処理するマイクロプロセッサーを搭載した高性能なデバイスまで進化してきました センサー内のデータにアクセスする IO-Link は以下の特徴があるインターフェースです

More information

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2

観測波形 赤いエリアに波形が入り込まなければ規格を満足しています.5mではより厳しいTP2の規格でも満足しています.5mケーブル使用時 TP2規格 TP3規格 -.1-5mケーブル使用時 2 2 1 2 2 224 48 7 11 15 12 2 2 48 21 1 4 IEEE1394 USB1.1 USB2. 1 2 1.5 12 1.5 12 (Low speed) (Full speed) 4 48 (High speed) 5 5 * 29 年には USB3. がリリースされる予定で 5Gbps の SuperSpeed が追加される 224 4824 TP4 TP3 TP2

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション () 増幅回路の周波数特性 Frequency characteristic of amplifier circuit (2) 増幅回路の周波数特性 Frequency characteristic of amplifier circuit MOS トランジスタの高周波モデル High-frequency model for MOS FET ゲート酸化膜は薄いので G-S, G-D 間に静電容量が生じる

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Microsoft PowerPoint - 集積デバイス工学7.ppt

Microsoft PowerPoint - 集積デバイス工学7.ppt 集積デバイス工学 (7 問題 追加課題 下のトランジスタが O する電圧範囲を求めよただし T, T - とする >6 問題 P 型 MOS トランジスタについて 正孔の実効移動度 μ.7[m/ s], ゲート長.[μm], ゲート幅 [μm] しきい値電圧 -., 単位面積あたりの酸化膜容量

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

携帯グループ(完成版)2007

携帯グループ(完成版)2007 The present condition and the next development of mobile phone industry The present condition and the next development of mobile phone industry WiMAX 90 1 16.5 5 5 13 13 5 5 5 1 NEC 1 2007 07 11 2 2G GSM

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

AD

AD AD 1110800673 2015 2 25 1 1 1.1..................................... 1 1.2................................... 3 2 4 2.1....................... 4 2.2 TDC................................ 5 2.2.1.....................................

More information

2

2 www.infineon.com/ 2 3 4 5 Cordless Phone WDCT PA RF - base station Step 7 UART 8/16-bit µc bus EBU MIPS - 4kec 175 MHz Network Processor VINETIC-CL SDR controller ADM3120 10 / 100 Auto MDIX PHY Flash WAN

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

LOS Detection Comparison in Optical Receiver

LOS Detection Comparison in Optical Receiver Design Note: HFDN-34.0 Rev. 1; 04/08 MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 AAILABLE MAX3991 を使用した 10Gbps 光レシーバでの正確なロスオブシグナル (LOS) 検出 1 はじめに ロスオブシグナル (LOS) のモニタは 10Gbps XFP 光モジュールでシステムのディジタル診断を行う場合に必要となります

More information

Microsoft Word - TokyoTechPR _Masu_web.doc

Microsoft Word - TokyoTechPR _Masu_web.doc 平成 27 年 2 月 20 日 報道機関各位 東京工業大学広報センター長 大谷 清 高周波無線給電型の超低電力無線機で多値変調を実現 要点 5.8GHz 帯 113μW で動作する無線送信機に 多値変調を適用 直交バックスキャッタリング回路 により 32QAM,2.5M ビット / 秒を実現 無線機は高周波無線給電技術で生成した電源により動作 概要 東京工業大学フロンティア研究機構の益一哉教授と精密工学研究所の伊藤浩之准教授

More information

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術

Signal-Suppression Feed Forwardを用いた広帯域LNAの低消費電力 ノイズキャンセル技術 平成 27 年度電子回路研究会高知市文化プラザかるぽーと Signal-Suppression Feed Forward ( 信号抑制フィードフォワード ) を用いた広帯域 LNA の低消費電力ノイズキャンセル技術 興大樹, 河内智, 李从兵, 神山雅貴, 高橋伸夫 ( 群馬大学 ) 馬場清一 ( 豊橋技術科学大学 ), 壇徹 ( オン セミコンダクター ) 小林春夫 ( 群馬大学 ) Gunma-univ.

More information

株式会社xx御中

株式会社xx御中 SAW 共振子による低位相雑音発振器 と次世代携帯電話への応用 電子情報通信学会春季総合大会於名城大学 アール エフ アーキテクチャ株式会社 森榮真一 2017 年 3 月 26 日 サマリー : 次世代携帯電話向けローカル発振器の提案と検証 次世代携帯電話通信においては 更なる高速 大容量化が期待されている 今後 携帯電回線高速化の実現のために 利用電波帯域は数十 GHz 帯への移行が予測される

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 15 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の

TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 15 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 5 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の中から選択可能です TITAN プローブのもつ優れたインピーダンス整合 電気特性 チップの視認性 長寿命をすべて兼ね備えています

More information

Microsoft PowerPoint - 山形大高野send ppt [互換モード]

Microsoft PowerPoint - 山形大高野send ppt [互換モード] , 2012 10 SCOPE, 2012 10 2 CDMA OFDMA OFDM SCOPE, 2012 10 OFDM 0-20 Relative Optical Power [db] -40-60 10 Gbps NRZ BPSK-SSB 36dB -80-20 -10 0 10 20 Relative Frequency [GHz] SSB SSB OFDM SSB SSB OFDM OFDM

More information

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator

I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 18 I/F Memory Array Control Row/Column Decoder I/F Memory Array DRAM Voltage Generator - - 19 - - 20 N P P - - 21 - - 22 DRAM - - 23 a b MC-Tr avcc=2.5vvbb=-1.5vvpp=4.0v bvcc=1.7vvbb=-1.0vvpp=3.0v

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp)

LM9822 3 Channel 42-Bit Color Scanner Analog Front End (jp) LM9822 LM9822 3 Channel 42-Bit Color Scanner Analog Front End Literature Number: JAJS680 LM9822 3 42 LM9822 AFE CIS CCD CDS / LM9822 14 6MHz ADC 600 / CCD CDS CCD CIS TTL/CMOS 14 6MHz 5V 5% I/O 3.3V 10%

More information

スライド 1

スライド 1 ミリ波帯における注入同期を用いた I/Q ミスマッチ補償手法 近藤智史, 河合誠太郎, 岡田健一, 松澤昭 東京工業大学大学院理工学研究科電子物理工学専攻松澤 岡田研究室 発表内容 2 研究背景 目標 従来技術と課題 注入同期を用いた補償手法 理論検討 測定結果 結論 研究背景 3 Australia Canada, USA Japan Europe 57 Frequency [GHz] 66 59.4

More information

デジタル通信を支える無線技術

デジタル通信を支える無線技術 Aug. 02, 2008 Copyright 2008 Niigata Internet SOCiety & I.Suzuki All Rights Reserved. 2 1. LAN 2. 3. LAN 4. 802.11 3 4 1. LAN 2. 3. LAN 4. 802.11 5 WMAN 50Km WiMax WLAN 100m 802.11 WPAN 10m ZigBee Bluetooth

More information

JEITA 電子情報技術産業協会規格 Standard of Japan Electronics and Information Technology Industries Association ED-5001A 3. 3 V 電源電圧仕様 3.3V±0.3V (normal range) and

JEITA 電子情報技術産業協会規格 Standard of Japan Electronics and Information Technology Industries Association ED-5001A 3. 3 V 電源電圧仕様 3.3V±0.3V (normal range) and JEITA 電子情報技術産業協会規格 Standard of Japan Electronics and Information Technology Industries Association 3. 3 V 電源電圧仕様 3.3V±0.3V (normal range) and 2.7V to 3.6V(wide range) Power supply voltage and interface

More information

AD_Vol42_No1_J1

AD_Vol42_No1_J1 A/D Rob Reeder Wayne Green Robert Shillito VOLTAGE dv Δv = Δt dt Δv VOLTAGE Δv 35fs A/D ADC AD9446-1 16 1MHz ADC 1MHz 35fs3dB S/NSNR 15MHz3 1dB 1fs ADC 1ADC ANALOG CONDITIONER INPUT ADC 1. DIGITAL OUTPUT?

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

Microsoft Word - TY_WLAN_WBSBMVGXB-1_EVBManual_V1.3J_ doc

Microsoft Word - TY_WLAN_WBSBMVGXB-1_EVBManual_V1.3J_ doc ワイヤレス LAN & Bluetooth モジュール評価ボード ( for WYSBMVGX4 / WYSBMVGX4-I / WYSBMVGXB ) この評価ボードは 実験検証用であり 品質を保証するものではありません また 評価ボードに使用している回路や部品 ソフトウェアは最新の物ではないことがあります 1/16 注意 : このモジュールは 日本の輸出管理下にあるデバイスドライバが必要です お客様の国やアプリケーション

More information

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp)

ADC082S021 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter (jp) 2 Channel, 50 ksps to 200 ksps, 8-Bit A/D Converter Literature Number: JAJSAA2 2 200KSPS 8 A/D 2 8 CMOS A/D 50kSPS 200kSPS / IN1 IN2 1 2 SPI QSPI MICROWIRE DSP 2.7V 5.25V 3V 1.6mW 5V 5.8mW 3V 0.12 W 5V

More information

AV 1000 BASE-T LAN 90 IEEE ac USB (3 ) LAN (IEEE 802.1X ) LAN AWS (Amazon Web Services) AP 3 USB wget iperf3 wget 40 MBytes 2 wget 40 MByt

AV 1000 BASE-T LAN 90 IEEE ac USB (3 ) LAN (IEEE 802.1X ) LAN AWS (Amazon Web Services) AP 3 USB wget iperf3 wget 40 MBytes 2 wget 40 MByt 1 BYOD LAN 1 2 3 4 1 BYOD 1 Gb/s LAN BYOD LAN LAN Access Point (AP) IEEE 802.11n BYOD LAN AP wget iperf3 1 AP [2] 2 IEEE 802.11ac [3] AP 4 AV (207 m 2 ) ( 1 2 )[4, 5] AP Wave2 Aruba AP-335 Aruba LAN 7210

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 第 5 章周波数特性 回路が扱える信号の周波数範囲の解析 1 5.1 周波数特性の解析方法 2 周波数特性解析の必要性 利得の周波数特性 増幅回路 ( アナログ回路 ) は 信号の周波数が高くなるほど増幅率が下がり 最後には 増幅しなくなる ディジタル回路は 高い周波数 ( クロック周波数 ) では論理振幅が小さくなり 最後には 不定値しか出力できなくなる 回路がどの周波数まで動作するかによって 回路のスループット

More information

ATLAS 2011/3/25-26

ATLAS 2011/3/25-26 ATLAS 2011/3/25-26 2 LHC (Large Hadron Collider)/ATLAS LHC - CERN - s=7 TeV ATLAS - LHC 1 Higgs 44 m 44m 22m 7000t 22 m 3 SCT( ) SCT(SemiConductor Tracker) - - 100 fb -1 SCT 3 SCT( ) R eta=1.0 eta=1.5

More information

2 1. LAN LAN Aug. 02, 2008 Copyright 2008 Niigata Internet SOCiety & I.Suzuki All Rights Reserved LAN LAN WLAN

2 1. LAN LAN Aug. 02, 2008 Copyright 2008 Niigata Internet SOCiety & I.Suzuki All Rights Reserved LAN LAN WLAN . LAN.. LAN 4. 80. Aug. 0, 008 Copyright 008 Niigata Internet SOCiety & I.Suzuki All Rights Reserved. 4. LAN.. LAN 4. 80. WLAN 00m WMAN 50Km WPAN 0m WiMax 80. 0Kbps 00K M 0M 00M G 5 LAN IEEE80.(997) 80.b(999,.4GHz/Mbps)

More information

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合(

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 理事長 : 豊木則行 / 以下 LEAP と略記 ) と国立大学法人東京大学は このたび マイコン等に使われる論理集積回路の大幅な省エネ化を可能とする

More information

SICE東北支部研究集会資料(2014年)

SICE東北支部研究集会資料(2014年) 計測自動制御学会東北支部第 291 回研究集会 (2014 年 10 月 23 日 ) 資料番号 291-12 断熱回路技術を用いた 低消費デジタル PWM 制御回路の設計 Design of low-power digital PWM circuit with adiabatic dynamic CMOS logic 鈴木暖 ( 山形大学 ), 阿部啄也 ( 山形大学 ), 澤田直樹 ( 山形大学

More information

Microsoft Word - QEX_2014_feb.doc

Microsoft Word - QEX_2014_feb.doc QEX2 月掲載記事 GPS 同期の 10MHz-OCXO 1. はじめに様々な場面で周波数精度の高い 10MHz 基準信号が必要とされます たとえば ダブルオーブン式の OCXO を使用して ppb 級 (10 の -9 乗 ) の精度を実現することができます OCXO 以上の精度を要求する場合には ルビジウム発振器や GPS 同期の OCXO を使用します ルビジウム発振器や GPS 同期の OCXO

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information