スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 H29 年度群馬大学電気電子工学特別講義 Ⅱ 集積電子回路工学 第 339 回アナログ集積回路研究会講演 2017 年版 第 4 回 半導体技術の概要と動向 群馬大学非常勤講師東京電機大学非常勤講師中谷隆之 1) 概要 2) 高集積化技術動向 MPU DRAM NAND の高集積化推移 3) 微細化技術動向 ITRS ロードマップ ITRS における寸法定義 スケーリング則微細化に伴う高性能化技術 3D FinFET 技術 4)NAND のチップ内 3D 技術 5) パッケージ内 3 次元実装技術 パッケージ内 3 次元実装技術概要 チップ積層ワイヤボンディング実装 PoP 実装,TSV など 1

2 概要 MOS トランジスタ (FET) の構造 MOSトランシ スタの基本構造はバイポーラトランジスタに比べてシンプル P 型 MOSとN 型 MOSがある N 型 MOSトランジスタの場合 P 型基板に N 型のソース領域 ( ウェル ) とドレイン領域 ( ウェル ) および薄い酸化膜上にゲート電極を形成 ゲート印加電圧ないときは ソースとドレイン間に空乏層が形成されソースとドレイン間は導通せず ゲートにプラス電圧印加すると ゲート電極下に反転層(N 層 ) が現れ ソースとドレイン間にチャネル形成され導通 絶縁酸化膜 (SiO 2 ) N 型 MOS トランジスタ ゲート ( ポリシリコン ) P 型 MOS トランジスタ ゲート酸化膜 ソース ドレイン チャネル N 型 ゲート長 N 型 シリコン基板 P 型 ドレイン チャネルソース ドレイン間電流は ゲート直下の表層 ( 反転層 ) 1-2nm 程度の所を流れる ゲート ソース 不純物の例 N: リン (P) P: ボロン (B) など 2

3 概要 CMOS 回路 CMOS とは P 型 MOS トランジスタと N 型 MOS トランジスタから構成 入力 Lo( ゼロレベル ) だと P 型 MOS が On N 型 MOS が Off すなわち出力が H(VDD 電源レベル ) 電源 MOS: Metal Oxide Semiconductor CMOS: Complementary ( 相補型 )MOS CMOS インバータ 入力が H (VDD) だと P 型 MOS が Off N 型 MOS が On すなわち出力が L( ゼロ ) H L CL L H CL 入力と出力の論理が反転 ( インバータ ) するデジタル回路 GND これで半導体のすべてがわかる : 秀和システム半導体のすべて : 日本実業出版社 3

4 概要 DRAM Dynamic Random Access Memory DRAM のメモリセルは MOS トランジスタ 1 個とキャパシタ 1 個から構成される揮発性メモリ キャパシタに電荷が蓄積された状態を 1 ない状態を 0 として記憶 MOS トランジスタはキャパシタ電荷の記憶や読み出しのスイッチとして働く 例えば 4G ビットの DRAM では 40 億個のメモリセルが 1 チップ上に作られている メモリアレイ メモリセル MOS トランジスタ キャパシタ 25fF キャパシタ MOS トランジスタ よくわかる最新半導体の基本と仕組み に追記西久保靖彦著 : 秀和システム 4

5 概要 フラッシュメモリ フラッシュメモリは 酸化絶縁膜 (SiO 2 ) に囲まれた浮遊 ( フローティング ) ゲート電極内 電子蓄積でデータを蓄え 電源を切ってもデータは消えない不揮発メモリ ゲート電極に高電圧をかけ浮遊ゲートへの電子の トンネル効果 を用いて書込む 浮遊ゲート内に蓄積される電子数は約 3,000 個 (20nm 世代 ) 微細化すると電子数は減少 制御ゲート 浮遊ゲートここに電子を閉じ込める ゲートとドレイン電極に高電圧を印加すると ソース内の電子がドレイン電圧で加速されホットエレクトロンとなる この内の一部電子が薄い絶縁膜をすり抜け ( トンネル効果 ) 浮遊ゲートに飛び移る 消去時はソースに高電圧印加すると浮遊ゲートから基板側に電子が飛び移る 書込み 消去 半導体のすべて日本実業出版社 5

6 高集積化 半導体 (LSI) の高集積化推移 1 チップあたりのトランジスタ数 ( 個 ) ムーアの法則 :1965 年に集積度は 18~24 ヶ月 (1 世代 ) で 2 倍増加すると提唱 1965 年以降 現在にいたるまで半導体はムーアの法則により高集積化している 現在 1 チップ LSI 中に数十億個のトランジスタが集積されている 10 億 100 万 1000 DRAM メモリ Gordon E. Moore :Intel の共同創始者 Intel マイクロプロセッサ 日経エレクトロニクス 6

7 高集積化 マイクロプロセッサに集積されるトランジスタ数 1971 年世界初のマイクロプロセッサは 約 2,300 個のトランジスタが集積されていた 2017 年発売のiPhone8 用 A11プロセッサでは約 43 億個のトランジスタを集積 (10nmFinFETプロセス) 2017 年発売のゲーム器 (xboxone x) フ ロセッサでは約 70 億個のトランジスタを集積 (16nmFinFETプロセス) 10 億個 ムーアの法則で集積度向上 2 年で2 倍集積度向上 トランジスタ数 GPU(NVIDIA) では なんと 210 億個のトランシ スタを集積 GPU: ク ラフィックスコンヒ ューティンク ユニット 1000 個 7

8 高集積化 DRAM の大容量化 DRAM は約 2 年半で世代交代し 容量が 2 倍へ高集積化 2016 年 4Gbit から 8Gbit 世代に移行 DRAM の微細化による大容量化はほぼ限界 16Gbit は難しい 比率 (%) Mbit DRAM 容量推移 60 1Gbit 40 2Gbit 4Gbit 20 8Gbit 0 予測 2013 年 Intel IDF 資料 8

9 DRAM 各社のプロセスロードマップ DRAM の製造プロセスの微細化は 16nm 世代で限界に直面 結果大容量化も行きづまり DRAM 微細化では Samsung が先行 1X: 約 18nm 1Y: 約 15nm 9

10 高集積化 NAND の大容量化 プレーナ型による微細化は 2016 年 128Gbit(MLC)14nm プロセスで行き止まり チップ内 3 次元 3D NAND は 32 層 128Gb(TLC) から始まり 毎年 2 倍容量アップ 2017 年 ISSCC では 64 層 512Gbit 発表 2017 年 6 月からサンプル出荷 2017 年 6 月には QLC(4bit/cell) として 768Gbit/chip 発表 768Gbit/chip を薄くして 16 枚パッケージ内積層し 1.5TByte(12.3Tbit)/ パッケージ ISSCC に見る NAND 大容量化推移 年 容量 多値レヘ ル プロセス Gb MLC 32nmプレーナ Gb MLC 24nmプレーナ Gb MLC 19nmプレーナ Gb TLC 20nmプレーナ Gb MLC 16nmプレーナ Gb TLC 32 層 3D NAND Gb TLC 48 層 3D NAND Gb TLC 64 層 3D NAND 2017/6 768Gb QLC 64 層 3D NAND 2017/6 256Gb TLC 96 層 3D NAND 768Gb は VLSI シンホ シ ウム 96 層はフ レスリリース ( 東芝 ) 64 層 512Gb3D NAND チップ ( 東芝 ) ISSCC2017 多値化 SLC:1bit/cell MLC:2bit/cell TLC:3bit/cell QLC:4bit/cell 10

11 微細化 半導体の微細化トレンド 微細化は 2003~2009 年頃までは 約 3 年毎に x0.7 倍で微細化が進んできたすなわち同じチップ面積とすると 約 3 年で集積度が 2 倍向上 32nm 以降 微細化ペースが大幅ダウン 2016 年現在 量産最小加工寸法は 15nm レベル (NAND) 現在の論理 LSI(MPU や SoC など ) 世代表記は ITRS に準じていない 各社の呼称 11

12 微細化 ITRS International Technology Roadmap for Semiconductors 微細化ロードマップ : 国際半導体技術ロードマップ (ITRS) ITRS は ムーアの法則を継続し微細化を継続するために何が必要か グローバル規模で議論 され 重要な技術的課題を抽出し それぞれの課題ごとに 15 年先まで見据えた定量的な表を多数 作製し 毎年その表の更新を重ねてきた 2016 年 2 月で ITRS が終焉 原因あれこれ 継続的微細化の行きづまり 15 年先のロードマップ意味なくなった ロードマップ可能なのは連続性のある技術範囲 これまでも非連続な技術は予測と大きくずれた 半導体企業の淘汰 ( ファブライト ファウンドリ化 ) 先端設備可能なのは数社 ITRS2013 Edition 簡素化 ITRS Edition 1.System Integration 2.Heterogeneous Integration 3.Heterogeneous Components 4.Outside System Connectivity 5.More Moore 6.Beyond CMOS 7.Factory Integration ポスト ITRS 終焉 2016 年 2 月 半導体の微細化だけではなく 幅広い技術進歩へのアプローチを検討し IoT に代表される新しいエレクトロニクス市場にマッチしたロードマップを提供 現在 様々な動きがある 12

13 ITRS ITRS 最終版 Memory Trends:ITRS Edition DRAM 現状 HP=20nm プロセス 以後の微細化は難しい プレーナ型 NAND は HP=14nm プロセスまで以後は 3D NAND ITRS Edition Executive Report 13

14 ITRS Logic Trends:ITRS Edition 2016 年現在 Logic の最先端は 14/16nm FinFET プロセス ITRS 定義では HP( ハーフヒ ッチ )=28nm 2017 年 10nmFinFET プロセス ITRS 定義による HP=18nm 半導体メーカの呼称 ITRS 正式定義 ITRS Edition Executive Report 14

15 微細化 ITRS ITRS 定義 : Research, Development, Production Production( 生産フェーズ ) は 先行する 1~2 社が 2 万枚 / 月のウェハ生産 ( リスク生産 ) に至る時 回路に関する新技術は 初論文から製品になるのに ~5 年かかる デバイスに関わる新技術は 初論文から製品化に至るのに ~12 年かかる 近年 一社が 2 万枚 / 月に達してから 2 社目が 2 万枚 / 月に達するのに 4 年もかかる例あり (Intel 先行 ) 研究フェーズ開発フェーズ生産フェーズ プロセスデバイス構造 ITRS の PIDS/FEP 章 生産 tool α β フ リフ ロ デバイス技術が論文に初発表される時期 回路技術が論文に初発表 ここから 9~12 ヶ月後でフル生産 8 年 ITRS2013 Executive Summary 15

16 微細化 ITRS ITRS による DRAM と MPU/ASIC の寸法定義 DRAM および MPU/ASIC での 1/2Pitch(hp: ハーフヒ ッチ ) は 最下層メタル配線 (M1) 配線ピッチの 1/2 で定義 メタル配線 現状先端 :20nm DRAM MPU/ASIC L ゲート長 ITRS2011 Executive Summary Leff 実効ゲート長 FET 性能決める 現状先端 Intel 14nm ITRS 定義では 26nm 16

17 微細化 ITRS トランジスタの寸法定義詳細 半導体プロセスでは 配線層のことをメタルと呼ぶ 配線は 最も下のトランジスタに近い配線が M1(Metal 1) で 現在では M10(Metal 10) 以上 配線は 最下層の M1 が最も配線幅やピッチが狭く 上層になるほど配線幅やピッチは広くなる ITRS では最下層の M1 ピッチの 1/2(HP) で寸法を定義 Source 17

18 微細化 ITRS ロジックプロセス各社呼称と ITRS 定義の関係 Intelの10nm 呼称は ITRS 定義の20nm 相当 Intelの10nm 呼称は 他社 (Samsung,TSMC,GF) の7nm 相当 Intelの7nm 呼称は他社の5nm 相当 GLOBALFOUNDIES(GF) Intel Samsung TSMC 各社の CPP(Contacted Poly Pitch) とMMP(Minimum Metal Pitch) Industry Strategy Symposium(ISS) (2017 年 1 月 8~11 日 ) 18

19 微細化 ITRS ITRS による NAND の寸法定義 NAND フラッシュでの 1/2Pitch(hp: ハーフヒ ッチ ) は ポリシリコン ( フローティングゲート ) ピッチの 1/2 で定義 メタル配線 poly-si ITRS2011 Executive Summary NAND 現状先端 :15nm 19

20 微細化 ITRS 微細化 : スケーリング 半導体の微細化は 最小加工寸法が 1 世代 ( 約 2 年 ) で x0.7 倍づつ縮小 ( スケーリング ) ITRS 定義による微細化は NAND が最も進んでいる 近年 ロジック (MPU や SOC)LSI で使用される 22nm,16nm,14nm 表記は ITRS 定義ではなく 実効ゲート長 (3D FinFET ではプレーナ相当ゲート長 ) M1 ハーフヒ ッチ.7x/2yrs nm CAGR(2 yrs) = -15.9% ITRS ( 国際半導体技術ロードマップ ) 20

21 微細化 トランジスタの微細化 : デナードのスケーリング則 R. Dennard のスケーリンク 理論 (1974 年 ) スケーリングファクタを S 各ディメンジョンを 1/S に縮小 動作電圧を 1/S として チャネル電界を一定とする理論 微細化で顕在化しつつある問題 短チャネル効果によるリーク電流増大の顕在化 スケーリングによりゲート遅延は短縮されるが 配線遅延増大が顕在化 短チャネル効果 : ゲート長 (L) が短くなり ドレイン電界の影響が大きくなり 漏れ電流が増大する効果 微細化が進み スケーリングパラメータが 右表から乖離してきた 例えば電源が 1/S で下がらないなど tox スケーリング則は LSI の黄金則である L W Device/Circuit parameter Scaling S 2 トランジスタのスケーリングパラメータ Device dimensions L, W, Tox 1/S Scaling Factor Doping concentration S~S 1.5 Voltage 1/S Field 1 Current 1/S Gate Delay 1/S 動作電圧も 1/S にする Power dissipation/device 1/S 3 ~ 1/S 2 東工大松澤先生講義テキストから 21

22 微細化 様々な物質のサイズと半導体の微細化 : 10m 1m 100mm 10mm 1mm 100um インフルエンザウィルス直径約 100 nm 10um 1um 100nm 10nm 現状の最先端 LSI の最小加工寸法は 20nm 以下 1nm 1A 現状の半導体加工寸歩 約 30nm 最近の LSI ではトランジスタ 1 個がウィルスより小さい! 22

23 微細化 MOS FET は微細化に伴い構造は複雑化 130nm 以降の微細化では 様々な性能劣化対策のためMOS FET 構造が複雑化 実効ゲート長( 実効 L 長 ) によりトランジスタ性能 ( 動作速度 ) が決まる このためプロセス技術で実際のゲート長よりも短くする技術 ( エクステンション ホ ケット構造など ) 微細化するとソース ドレイン ゲートが高抵抗化対策のためシリサイドCoSiO 2 技術など Chipworks 社テ ータ ゲート ソース ドレイン 実際の MOS FET 断面 90nm プロセスで実効ゲー長は 47.5nm SiO 2 MPUやSOCメーカは この寸法をプロセス世代と称してきた 半導体とシステム LSI: 菊池正典著日本実業出版社 23

24 高性能化技術 微細化トランジスタにおける高性能化技術 90nm 以降の微細化では 特性劣化やリーク電流増加問題に対し新たな技術が採用 90nm 以降で歪シリコン技術が 45nm 以降ではHigh-k/ メタルゲート技術が 22nm 以降では3Dトランジスタ構造であるマルチゲート (MuGFET) 技術が量産使用 High-k/ メタルゲート ゲート絶縁膜 Intel 2007 年 Intel 2009 年 チャネルの電界制御 チャネル材料 微細化するとゲート電圧で電流を完全に ON/OFF できなくなる この改善手段 部分空乏 SOI キャリアの移動度を高める材料 構造 歪みシリコン Intel 2003 年 完全空乏 SOI Intel 2011 年 トランジスタの 3 次元構造 FinFET マルチゲートダブルゲート Tri-Gate 高移動度チャネル材料 ITRS2009 Executive Summary に追記 24

25 高性能化技術 Intel は微細化や高性能化でリードしてきた Intel は微細化 高性能化技術を他社に先駆け導入してきた 歪シリコン High-k MG や 3DTri-Gate(FinFET) 技術は約 3 年半先行 2014 年頃から TSMC や Samsung などが追い上げ 時間差が縮小へ Intel 資料 25

26 高性能化技術 高性能化技術 : 歪シリコン技術 MOS トランジスタのチャネル部に物理的ひずみを印加してキャリア移動度を高める 局所ひずみ印加方法一軸性ひずみの場合は NMOS と PMOS で印加 すべき歪方向が異なる SiN 膜の成膜条件を工夫し 2 種類の膜を堆積 NMOS が引っ張りひずみ PMOS が圧縮ひずみ を印加すると移動度が高まる PMOS では 55% も移動度が高まる Intel 第 4 世代歪みシリコン技術 (32nm) SiGe エピ層の Ge がチャネルに歪み与える Metal Gate Ge は Si に比べて約 4% 原子サイズが大きい このため Si に物理的歪が加わり, 電子やホールが Si 原子の間を通りやすくなり移動度が高まる SiGe エピ層 歪み ( 応力 ) SiGe エピ層 IEDM2009 PMOS 26

27 Power consumption (W) 高性能化技術 トランジスタの微細化問題 : リーク電流の増加 微細化でリーク電流による消費電力増大が大きな問題に ( 特にスマホなどの携帯機器 ) 消費電力 P 2 fclvdd I V f: 動作周波数 CL: 容量 d leak dd Vdd: 電源電圧 Ileak: 漏れ電流容量のスイッチング電力微細化により影響が顕著に 高速 CPU の消費電力 Dynamic current CMOS における Dynamic current Leak current が急激に増加 CL 東工大松澤先生講義テキストから 27

28 高性能化技術 微細化における問題点 : リーク電流の増大 微細化によるスタンバイリーク電流の増大 Ioff リーク Ioff リーク ゲートリーク ゲートリーク 半導体とシステム LSI: 菊池正典著日本実業出版社 28

29 高性能化技術 ゲートリーク電流対策 :HKMG(High-k/Metal Gate) ゲートリークの低減 (High-k 材料 ) MOS トランジスタ断面 ゲート酸化膜が薄くなり (1nm) トンネル電流 ( リーク ) が増大する そこで High-K ゲート絶縁膜使用によりゲート酸化膜の厚みを確保して 大幅なゲートリークを低減 (SiO 2 酸化膜のみより 2 桁以上の低減 ) ゲート電極 SiO2 膜のみ チャネル High-k 膜 代表的なゲート絶縁膜の誘電率 SiO 2 ( 二酸化シリコン ) の比誘電率 =3.9 Si 3 N 4 ( 窒化シリコン )=7 HfSiO( ハフニウムシリケート )=12~20 HfO( ハフニウム酸化物 )=20~24 半導体とシステム LSI: 菊池正典著日本実業出版社 さらに MG(Metal Gate): ゲート材料をポリシリコンからメタル材料にかえてトランジスタ性能を向上 29

30 高性能化技術 Ioff リーク電流対策 ボディバイアス : サブスレッショルドリークの低減 ウェルにバイアス印加し閾値を上昇 (NMOS では負電圧印加 ) SOI(Silicon on Insulator): SOI 基板では 埋め込み酸化膜 (BOX) 上の 薄い Si 層にチャネルが形成される これがドレインーソース間の電流通路となるが この通路が極めて薄いため ゲート電界で完全 制御され漏れ電流が抑えられる 右図の FD SOI ( 完全空乏型 SOI) SiO 2 90nm 以降でのボディバイアス技術 SOI 技術は IBM AMD や STM などが積極的に利用 Intel は SOI 技術は使用していない 半導体とシステム LSI: 菊池正典著日本実業出版社 30

31 高性能化 FinFET 3D Tri-Gate/FinFET トランジスタ 従来の 2 次元構造 FET ではゲート電圧で S-D 間の電流を完全に ON/OFF 制御できなくなる このためチャネル周囲をゲートで囲う Trigate/FinFET などの 3 次元構造が必要となる Tri-Gate 現状のプレーナ FET 構造 チャネル FinFET 構造 Drain ゲート Trigate/FinFET 断面 Gate 絶縁膜 3D Tri-Gate/Fin FET トランジスタは チャネルを 3 方向に囲われたゲートで制御する 3 次元構造 動作は完全空乏型 高性能化と低消費電力 ( リーク電流低減 ) を同時に満たす Source SiO2 SiO 2 チャネル 31

32 高性能化 FinFET Intel 第一世代 22nmFinFET と第 2 世代 14nmFinFET 2011 年後半 ~ 22nm FinFET 34nm Fin 高さ 60nm Fin ピッチ 2013 年後半 ~ Fin の本数で駆動能力調整 14nm FinFET 42nm Fin ピッチ 42nmFin 高さ Gate ピッチ :90nm 配線ピッチ :80nm Gate ピッチ :70nm 配線ピッチ :52nm Si 基板 Si 基板 第 2 世代は狭ピッチ化高 Fin 化 SEM 断面写真 32

33 高性能化 FinFET Intel の FinFET プロセス Drain IntelはIEDM2006で22nmTri-Gate 技術を発表 2011 年に22nmで第 1 世代 2013 年に14nmで第 2 世代 2017 年に10nmで第 3 世代量産開始 Intelの10nmは フィンピッチが34nm フィンの高さが53nm メタルピッチは最小で36nm ゲートピッチは54nm Intel10nmは他社のFinFET 7nmプロセス相当 FinFET 構造 Source Gate SiO2 第 1 世代 (2011 年 ~) 第 2 世代 (2013 年 ~) 第 3 世代 (2017 年 ~) Fin の高さ :34nm Fin ヒ ッチ :60nm Fin 幅 :8nm Fin の高さ :42nm Fin ヒ ッチ :42m Fin の高さ :53nm Fin ヒ ッチ :34nm 33

34 高性能化 FinFET Intel22nm/14nm 3D FinFET プロセス特徴 性能 vs リーク電流 Intelは2014 年に第 2 世代 FinFET 量産 22nmから14nmに微細化 FinFETは 高性能に活かす事も低リーク低消費電力に活かす事も可能 性能アクティフ 電力性能 /W Server Server Mobile Mobile 資料 Intel 34

35 NAND プレーナ型 NAND の微細化限界 プレーナ型 NANDは ほぼ16nm 世代で微細化の限界 以後はチップ内 3D 化が必須とのシナリオ東芝は2014 年 9 月に プレーナNANDは15nmで終了し以後は3D 化へ移行 と発表 2009 年当時 2011~2012 年に微細化限界を迎えるとしたが NANDの微細化のスローダウンで 3D 化は3~4 年程度先延ばし 20nm 18nm 16nm Intel+ Micron 20nm 21nm 18nm 16nm 25nm 20nm 15nm 24nm 19nm 1(Y)nm NAND の微細化は東芝が先行 35

36 NAND 2013 年に示された NAND フラッシュのシナリオ 2014 年に 10nm 代前半プロセスでプレーナ型 NAND 限界に達する 2015 年に 3D 化に本格移行して 256GbitNAND 量産とのシナリオ 2014 年現在 3D NAND のコスト問題は解決していない ( 同じ容量で微細化プレーナより高コスト ) 2013 年 Samsung 32 層 128Gbit 現状 2016 年から本格量産見込み 東芝 2017 年 NAND の 50% を 3D NAND へ (64 層 256Gbit) 2016 年 6 月発表 日経 WinPC 2013 年 11 月号 36

37 3D NAND 3D NAND 技術は東芝が 2007 年に発表 :BiCS Bit Cost Scalable 2007 年に東芝が発表した 3 次元 NAND フラッシュメモリ技術 :BiCS BiCS は多層化しても製造コストが上がらない特徴 ( 微細露光回数増えない ) 日経マイクロテ ハ イス

38 3D NAND チップ内 3D NAND フラッシュ BiCS の構造 東芝 BiCS 概要 : 電極膜と絶縁膜を交互に積層し この積層構造を貫通する孔をRIE( 反応性イオンエッチング ) を用いて一度にあける 孔の側面にメモリ膜 ( トンネル絶縁膜 チャージトラップ膜 ブロッキング膜 ) を形成し 孔をポリシリコンで埋める 積層電極膜 孔の側面に形成したメモリ膜 孔を埋めたポリシリコンがチャネルとなり セルが多層に一度に形成される トンネル絶縁膜 制御ゲート チャネル フ ロッキンク 絶縁膜 ( ソース ) ( ドレイン ) チャーシ トラッフ 膜 チャージトラップ型 NAND フラッシュ BiCS 構造 38

39 3D NAND 各社 Vertical Channel 3D NAND 構造 3 次元 NAND は 2007 年に東芝が BiCS として発表 Samsung,Hynix,Micron が BiCS 構造をベースに開発 Samsung は 2009 年に BiCS の問題を改善した TCAT 発表 2013 年 8 月 V-NAND として量産開始 Flash Memory Summit 2012 半導体メモリ編 SK Hynix が展望する半導体メモリの未来 V-NAND として 2013 年商品化 39

40 3D NAND 64 層 512Gbit(TLC) 東芝 &Samsung 3D NAND ISSCC2017 東芝 3D NAND BiCS 512Gbit 64 層 Samsung3D NAND BiCS 512Gbit 64 層 ISSCC &

41 3D NAND 3D NAND 動向 3D NANDは当初予定より遅延 プロセスが予想以上に難しかった ( エッチング工程や膜形成など ) 装置性能向上や 歩留まり向上にAI 技術活用し 2017 年やっと本格量産に至ってきた NAND3 社 (Samsung, 東芝 /WD Micron-Intel) は64 層 256G~512Gbit(TLC) を量産開始 東芝は64 層で768Gbit(QLC) サンプル提供 (2017.6) 東芝は96 層 512Gbit(TLC) を開発 41

42 3D XPoint Intel+Micro 128Gbit 3D クロスポイントメモリ X,Y 方向配線のクロス部分にメモリセルを形成 20nm プロセス 128G ビット容量で 640 億個の 2 層構造メモリセル メモリセルは抵抗変化する材料 (ReRAM か相変化メモリと推測 詳細発表なし ) NAND 型フラッシュメモリよりも 1000 倍高速で DRAM よりも 8~10 倍 記憶密度が高い NAND よりも 1000 倍の耐久性 20nm プロセス 128Gbit 3D XPoint メモリ Intel+Micron も 3DXPoint メモリ量産にたいへん苦労している様子 新たな材料が数十以上も必要 42

43 3D 実装 パッケージ内 3D 化 3 次元 (3D) 実装技術のいろいろ 現在の量産レベル 3 次元実装は ワイヤボンディングやパッケージオンパッケージ (PoP) 積層レベル PoP WL : Wafer Level TSV STRJ/ITRS 43

44 3D 実装 パッケージ内 3 次元実装技術 :PoP(Package on Package) 現在のスマホ用プロセッサと DRAM では ほとんどこの PoP 実装技術が使用されている iphone 6S 回路基板 PoP(Package on Package) A9 アプリケーションプロセッサ +2GB DRAM ワイヤボンディング メモリパッケージ プロセッサパッケージ 一般的な PoP 構造の例 半田ボール 基板 傳田精一氏プレゼン資料から 44

45 3D 実装 NAND 積層技術ワイヤボンデング : 東芝 2009 年資料 18μm 極薄の NAND を 33 段ワイヤボンディングで積層 東芝はダイシングしてから裏面を削るDBG 技術 (Dicing before grinding) によりウェハを18μmまで極薄化 15μmまでは動作確認済みだが 量産は18μm 限界か TSV 技術はコスト競争激しいNANDでは当面採用無理 TSV 技術は高速 DRAMの積層化など高速化でメリット大きい 携帯機器向け音楽データの記録 携帯機器向け動画データの記録 現状の最大容量 SDXC カード 512GB 128Gbit Chip だと 33 枚 256Gbit Chip で 17 枚のチップが必要 日経マイクロデバイス

46 3D TSV パッケージ内 3 次元実装技術 :TSV(Through silicon Via: シリコン貫通ビア ) TSV は Si 基板を薄化して 基板上下を貫通する穴を開け Si 基板を積層して接続する技術 TSV TSV 断面構造 上側のチップ (Top Tire) 厚み 25um 間隔 700nm 下側のチップ (Bottom Tire) M2 M1 TSV liner 絶縁膜 120nm 接着層 Cu-Cu ハ ンフ 熱圧接 ( 加圧 加熱 ) IMD (Inter-Metal Dielectric) 層間絶縁膜 PMD(Pre-Metal Dielectric) 膜 46

47 3D TSV 3D NAND+TSV16 段積層 1TByte NAND 2017 年 7 月 48 層 3D NAND にTSVを適用し 書き込みバンド幅と低消費電力性能を向上ワイヤボンディングを用いた製品に比べて電力効率を約 2 倍向上 512G bit(tlc) チップを単一パッケージ内に16 段積層し 総容量 1Tバイトの大容量化 BiCS FLASHはパッケージがNAND Dual x8 BGA-152で インタフェース規格がToggle DDR 容量 512GB(8 層 ) の製品と容量 1TB(16 層 ) の製品があり サイズは512GBが mm 後者が mm サンプル出荷は2017 年中に開始予定 年 07 月 18 日 47

48 3D FOWLP パッケージ内 3 次元実装技術 FOWLP (Fan-out Wafer Level Package) TSMC Apple が A10 で採用 (iphone7) 従来のフリップチップ BGA に置き換わる実装方式として FOWLP が注目を集めている 大きな違いはパッケージ基板がないこと 代わりにチップの端子から配線を引き出す再配線層を半導体工程で作り 外部端子につなげる パッケージ基板がないため パッケージが薄い 配線長が短く伝送が速い パッケージ基板の分 コストが安い といった特性を実現できる 再配線層 ( 半導体プロセス )

49 3D 磁界結合 チップ間 磁界結合 :Thrue Chip Interface (TCI) 慶応大学黒田先生チームが研究してきたチップ間 磁界結合技術が実用化に向かいだした 従来コイル寸法が大きすぎたが チップの薄化技術 (DRAM を 4μm 厚に研磨しても正常動作 ) で 8 枚積層して 60μm サイズのコイルで通信可能になった チップの薄化以外に追加プロセス不要 また TSV のように ESD 対策ダイオードも不要 PEZY( 国内ヘ ンチャ ) は次期スパコン chip に この TCI 技術を採用予定 通信距離と伝送容量 Coil diameter D=3 x Z 通信回路は極めてシンプル Chip1 Chip n 通信距離 送信 受信用コイルの巻き数は 4 turn 49

50 More Moore と More than Moore: More Moore による微細化がスローダウン 等価スケーリングにて Moore の法則維持今後はチップ内 3 次元化と高移動度チャネル材料が More Moore のキー 近年 More than Moore の多様化に関する論文発表が多い 異種チップの融合など 多様性 Analog/RF Passives HV Power Sensors Actuators Biochips 情報処理関連デジタル中心の SoC 人と装置の相互作用非デジタルによる SiP More than Moore 多様性 多品種対応 異種混載実装技術など 日本の技術が活きる可能性大きい 微細化 ITRS2011 Executive Summary CMOS 後の技術 50

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合(

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 理事長 : 豊木則行 / 以下 LEAP と略記 ) と国立大学法人東京大学は このたび マイコン等に使われる論理集積回路の大幅な省エネ化を可能とする

More information

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード]

Microsoft PowerPoint - 集積デバイス工学 基礎編 2010_5 [互換モード] 半導体メモリが新応用を開拓した例 集積デバイス工学半導体メモリ 2010 年 5 月 14 日東京大学大学院工学系研究科電気系工学竹内健 E-mail : takeuchi@lsi.t.u-tokyo.ac.jp http://www.lsi.t.u-tokyo.ac.jp p y jp アップル社の ipod nano 2005 年 9 月発売 フラッシュメモリの記憶容量によって価格の異なるラインアップ

More information

電子回路I_4.ppt

電子回路I_4.ppt 電子回路 Ⅰ 第 4 回 電子回路 Ⅰ 5 1 講義内容 1. 半導体素子 ( ダイオードとトランジスタ ) 2. 基本回路 3. 増幅回路 電界効果トランジスタ (FET) 基本構造 基本動作動作原理 静特性 電子回路 Ⅰ 5 2 半導体素子 ( ダイオードとトランジスタ ) ダイオード (2 端子素子 ) トランジスタ (3 端子素子 ) バイポーラトランジスタ (Biolar) 電界効果トランジスタ

More information

Microsoft PowerPoint - semi_ppt07.ppt

Microsoft PowerPoint - semi_ppt07.ppt 半導体工学第 9 回目 / OKM 1 MOSFET の動作原理 しきい電圧 (V( TH) と制御 E 型と D 型 0 次近似によるドレイン電流解析 半導体工学第 9 回目 / OKM 2 電子のエネルギーバンド図での考察 金属 (M) 酸化膜 (O) シリコン (S) 熱平衡でフラットバンド 伝導帯 E c 電子エネルギ シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない

More information

Microsoft PowerPoint - 集積デバイス工学2.ppt

Microsoft PowerPoint - 集積デバイス工学2.ppt チップレイアウトパターン ( 全体例 ) 集積デバイス工学 () LSI の製造プロセス VLSI センター藤野毅 MOS トランジスタの基本構造 MOS トランジスタの基本構造 絶縁膜 絶縁膜 p 型シリコン 断面図 n 型シリコン p 型シリコン 断面図 n 型シリコン 破断面 破断面 トランジスタゲート幅 W 平面図 4 トランジスタゲート長 L 平面図 MOS トランジスタ (Tr) の構造

More information

記者発表開催について

記者発表開催について 2014 年 6 月 4 日 東京工業大学広報センター長大谷清 300mm ウエハーを厚さ 4µm に超薄化 -DRAM で検証 超小型大規模三次元メモリーに威力 - 概要 東京工業大学異種機能集積研究センターの大場隆之特任教授は ディスコ 富士通研究所 PEZY Computing( ペジーコンピューティング 東京都千代田区 ) WOW アライアンス ( 用語 1) と共同で 半導体メモリー (DRAM)

More information

untitled

untitled 1-1 1.CMOS 技術の最前線 国際半導体技術ロードマップから見た CMOS 技術動向 Trends in CMOS Technology Based on ITRS 2011 Edition 石内秀美 ITRS( 国際半導体技術ロードマップ ) は, 世界 5 極 ( 欧州, 日本, 韓国, 台湾, 米国 ) の半導体工業会 (ESIA,JEI- TA,KSIA,TSIA,SIA) がスポンサーとなって,

More information

Slide 1

Slide 1 INTEL プロセッサの 技術ロードマップ 2014 年 7 月 目次 Pentium から Ivy Bridge までの Intel の製品ライン 100 nm ノード超 (Gate-First) サブ 100 nm ノード : 90 nm および 65 nm (Gate-First) 45 nm 32nm および 22nm (Gate-Last 高誘電 メタルゲート ) 技術ノード 関連パラメータコンタクテッドゲートピッチ

More information

Microsoft PowerPoint - semi_ppt07.ppt [互換モード]

Microsoft PowerPoint - semi_ppt07.ppt [互換モード] 1 MOSFETの動作原理 しきい電圧 (V TH ) と制御 E 型とD 型 0 次近似によるドレイン電流解析 2 電子のエネルギーバンド図での考察 理想 MOS 構造の仮定 : シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない 金属 (M) 酸化膜 (O) シリコン (S) 電子エ金属 酸化膜 シリコン (M) (O) (S) フラットバンド ネルギー熱平衡で 伝導帯 E

More information

PowerPoint Presentation

PowerPoint Presentation 半導体電子工学 II 神戸大学工学部 電気電子工学科 12/08/'10 半導体電子工学 Ⅱ 1 全体の内容 日付内容 ( 予定 ) 備考 1 10 月 6 日半導体電子工学 I の基礎 ( 復習 ) 11/24/'10 2 10 月 13 日 pn 接合ダイオード (1) 3 10 月 20 日 4 10 月 27 日 5 11 月 10 日 pn 接合ダイオード (2) pn 接合ダイオード (3)

More information

<4D F736F F D2097CA8E718CF889CA F E F E2E646F63>

<4D F736F F D2097CA8E718CF889CA F E F E2E646F63> 量子効果デバイス第 11 回 前澤宏一 トンネル効果とフラッシュメモリ デバイスサイズの縮小縮小とトンネルトンネル効果 Si-CMOS はサイズの縮小を続けることによってその性能を伸ばしてきた チャネル長や ゲート絶縁膜の厚さ ソース ドレイン領域の深さ 電源電圧をあるルール ( これをスケーリング則という ) に従って縮小することで 高速化 低消費電力化が可能となる 集積回路の誕生以来 スケーリング側にしたがって縮小されてきたデバイスサイズは

More information

Microsoft PowerPoint - アナログ電子回路3回目.pptx

Microsoft PowerPoint - アナログ電子回路3回目.pptx アナログ電 回路 3-1 電気回路で考える素 ( 能動素 ) 抵抗 コイル コンデンサ v v v 3-2 理 学部 材料機能 学科岩 素顕 iwaya@meijo-u.ac.jp トランジスタ トランジスタとは? トランジスタの基本的な動作は? バイポーラトランジスタ JFET MOFET ( エンハンスメント型 デプレッション型 ) i R i L i C v Ri di v L dt i C

More information

ムーアの法則に関するレポート

ムーアの法則に関するレポート 情報理工学実験レポート 実験テーマ名 : ムーアの法則に関する調査 職員番号 4570 氏名蚊野浩 提出日 2019 年 4 月 9 日 要約 大規模集積回路のトランジスタ数が 18 ヶ月で2 倍になる というムーアの法則を検証した その結果 Intel 社のマイクロプロセッサに関して 1971 年から 2016 年の平均で 26.4 ヶ月に2 倍 というペースであった このことからムーアの法則のペースが遅くなっていることがわかった

More information

Microsoft PowerPoint - 集積デバイス工学7.ppt

Microsoft PowerPoint - 集積デバイス工学7.ppt 集積デバイス工学 (7 問題 追加課題 下のトランジスタが O する電圧範囲を求めよただし T, T - とする >6 問題 P 型 MOS トランジスタについて 正孔の実効移動度 μ.7[m/ s], ゲート長.[μm], ゲート幅 [μm] しきい値電圧 -., 単位面積あたりの酸化膜容量

More information

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt

Microsoft PowerPoint - (1_IRC)STRJ_WS_2014_IRC_ver2_講演後改訂版.ppt ITRS 2013 年版の概要と ITRS の編集方針 JEITA 半導体技術ロードマップ委員会 (STRJ) 委員長石内秀美 (( 株 ) 東芝 ) 本講演は ITRS でまとめた技術ロードマップについて説明したもので ITRS 参加企業 団体 JEITA 会員企業の個別の製品や技術開発の方向について説明したものではありません 1 主要略語一覧 (Glossary) ERD: Emerging Research

More information

Microsoft PowerPoint - 集積デバイス工学5.ppt

Microsoft PowerPoint - 集積デバイス工学5.ppt MO プロセスフロー ( 復習 集積デバイス工学 ( の構成要素 ( 抵抗と容量 素子分離 -well 形成 ゲート形成 拡散領域形成 絶縁膜とコンタクト形成 l 配線形成 6 7 センター藤野毅 MO 領域 MO 領域 MO プロセスフロー ( 復習 素子分離 -well 形成 ゲート形成 拡散領域形成 絶縁膜とコンタクト形成 l 配線形成 i 膜 ウエルポリシリコン + 拡散 + 拡散コンタクト

More information

スピントランジスタの基本技術を開発   ― 高速・低消費電力、メモリにもなる次世代半導体 ―

スピントランジスタの基本技術を開発   ― 高速・低消費電力、メモリにもなる次世代半導体 ― スピン MOS トランジスタの基本技術を開発 高速 低消費電力 不揮発の次世代半導体 本資料は 本年米国ボルチモアで開催の IEDM(International Electron Devices Meeting 2009) における当社講演 Read/Write Operation of Spin-Based MOSFET Using Highly Spin-Polarized Ferromagnet/MgO

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧 デジアナ混載 IC ミックスド シグナル IC 設計の留意点 2005 年 5 月初版 2010 年 10 月改訂作成 : アナロジスト社森本浩之 まえがきデジタル アナログ混載 IC の回路本来の実力を引き出すためにはアナログ回路とデジタ ル回路の不要な干渉を抑える必要があり ノウハウを要します ですが十分な理解と注意の元で設 計を行えばさほど混載を恐れる必要もありません 用語 IP: Intellectual

More information

Microsoft PowerPoint - 2.devi2008.ppt

Microsoft PowerPoint - 2.devi2008.ppt 第 2 章集積回路のデバイス MOSトランジスタダイオード抵抗容量インダクタンス配線 広島大学岩田穆 1 半導体とは? 電気を通す鉄 アルミニウムなどの金属は導体 電気を通さないガラス ゴムなどは絶縁体 電気を通したり, 通さなかったり, 条件によって, 導体と絶縁体の両方の性質を持つことのできる物質を半導体半導体の代表例はシリコン 電気伝導率 広島大学岩田穆 2 半導体技術で扱っている大きさ 間の大きさ一般的な技術現在研究しているところナノメートル

More information

報道機関各位 平成 30 年 5 月 14 日 東北大学国際集積エレクトロニクス研究開発センター 株式会社アドバンテスト アドバンテスト社製メモリテスターを用いて 磁気ランダムアクセスメモリ (STT-MRAM) の歩留まり率の向上と高性能化を実証 300mm ウェハ全面における平均値で歩留まり率の

報道機関各位 平成 30 年 5 月 14 日 東北大学国際集積エレクトロニクス研究開発センター 株式会社アドバンテスト アドバンテスト社製メモリテスターを用いて 磁気ランダムアクセスメモリ (STT-MRAM) の歩留まり率の向上と高性能化を実証 300mm ウェハ全面における平均値で歩留まり率の 報道機関各位 平成 30 年 5 月 1 日 東北大学国際集積エレクトロニクス研究開発センター 株式会社アドバンテスト アドバンテスト社製メモリテスターを用いて 磁気ランダムアクセスメモリ (STT-MRAM) の歩留まり率の向上と高性能化を実証 300mm ウェハ全面における平均値で歩留まり率の向上 (91% から 97%) と 高速動作特性の向上を実証する実験に成功 標記について 別添のとおりプレスリリースいたしますので

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

Microsoft Word - 10gun_04hen_04.doc

Microsoft Word - 10gun_04hen_04.doc 10 群 ( 集積回路 ) 4 編 ( メモリ LSI) 4 章不揮発性大容量メモリ ( 執筆者 : 仁田山晃寛 )[2010 年 1 月受領 ] 概要 Code Storage Memory または Data Storage Memory として大容量のメモリ LSI を提供する不揮発性大容量メモリの最近の技術動向を概観し, 今後の技術展望を論じる. 本章の構成 本編では, 不揮発性大容量メモリの代表例として,NAND-flash

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

(Microsoft PowerPoint - \217W\220\317\211\361\230H\215H\212w_ ppt)

(Microsoft PowerPoint - \217W\220\317\211\361\230H\215H\212w_ ppt) 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 集積回路工学 1 レイアウトの作業 トランジスタの形状と位置を決定 トランジスタ間を結ぶ配線の経路を決定 製造工程の製造精度に対し 十分な余裕を持った設計ー > デザインルール チップ面積の最小化 遅延の最小化 消費電力の最小化 仕様設計 Schematic の作成 / 修正 Simulation DRC/LVS OK? OK? LPE/Simulation

More information

Microsoft PowerPoint - 4.1I-V特性.pptx

Microsoft PowerPoint - 4.1I-V特性.pptx 4.1 I-V 特性 MOSFET 特性とモデル 1 物理レベルの設計 第 3 章までに システム~ トランジスタレベルまでの設計の概要を学んだが 製造するためには さらに物理的パラメータ ( 寸法など ) が必要 物理的パラメータの決定には トランジスタの特性を理解する必要がある ゲート内の配線の太さ = 最小加工寸法 物理的パラメータの例 電源配線の太さ = 電源ラインに接続されるゲート数 (

More information

13 2 9

13 2 9 13 9 1 1.1 MOS ASIC 1.1..3.4.5.6.7 3 p 3.1 p 3. 4 MOS 4.1 MOS 4. p MOS 4.3 5 CMOS NAND NOR 5.1 5. CMOS 5.3 CMOS NAND 5.4 CMOS NOR 5.5 .1.1 伝導帯 E C 禁制帯 E g E g E v 価電子帯 図.1 半導体のエネルギー帯. 5 4 伝導帯 E C 伝導電子

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

PowerPoint Presentation

PowerPoint Presentation 半導体電子工学 II 神戸大学工学部電気電子工学科 小川真人 09/01/21 半導体電子工学 II 日付内容 ( 予定 ) 備考 1 10 月 1 日半導体電子工学 I の基礎 ( 復習 ) 2 10 月 8 日半導体電子工学 I の基礎 ( 復習 ) 3 10 月 15 日 pn 接合ダイオード (1) 4 10 月 22 日 pn 接合ダイオード (2) 5 10 月 29 日 pn 接合ダイオード

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

Microsoft PowerPoint LCB_8.ppt

Microsoft PowerPoint LCB_8.ppt ( 第 8 回 ) 鹿間信介摂南大学理工学部電気電子工学科 論理記号 5. 論理機能記号と論理記号 5.. 論理機能記号 5..2 論理記号 5..4 ダイオードによるゲート回路 5..3 論理回路の結線と論理ゲートの入出力特性 (DTL & TTL) 演習 頻度 中間試験結果 35 3 25 2 5 5 最小 3 最大 (6 名 ) 平均 74. 6 以上 86 人 (76%) 6 未満 27 人

More information

15群(○○○)-8編

15群(○○○)-8編 10 群 ( 集積回路 )- 3 編 ( システムオンチップ技術 ) 3 章実装形態 ( 執筆者 : 小谷光司 )[2009 年 9 月受領 ] 概要 本章では, 集積回路実装技術を活用して SoC のコンセプトをより柔軟性高く低コストで実現する手法について述べる. すなわち, 複数の集積回路チップを同一パッケージ内に搭載してパッケージ単位でシステム集積化を実現する SiP(System in Package)

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

3. フラッシュ メモリフラッシュ メモリは 現在 おもに用いられている構造は図 3のようなフローティング ゲート (Floating Gate= 浮遊ゲート 以下 FG) 型である 通常のMOSのゲート電極とSi 基板 ( チャンネル ) との間に どこにも繋がっていないFGがあり このFGに電荷

3. フラッシュ メモリフラッシュ メモリは 現在 おもに用いられている構造は図 3のようなフローティング ゲート (Floating Gate= 浮遊ゲート 以下 FG) 型である 通常のMOSのゲート電極とSi 基板 ( チャンネル ) との間に どこにも繋がっていないFGがあり このFGに電荷 メモリの大革命 3 次元 NAND フラッシュ 厚木エレクトロニクス / 加藤俊夫 1. はじめに ~メモリの全般状況 ~ 本レポートは 3 次元 NANDフラッシュ メモリ ( 以下 3D-NANDフラッシュ ) について詳しく説明するのが目的であるが メモリに詳しくない方のために まず最初に半導体メモリ全般について簡単に述べておく 現在 半導体メモリといえば DRAM(Dynamic Random

More information

Microsoft PowerPoint - H30パワエレ-3回.pptx

Microsoft PowerPoint - H30パワエレ-3回.pptx パワーエレクトロニクス 第三回パワー半導体デバイス 平成 30 年 4 月 25 日 授業の予定 シラバスより パワーエレクトロニクス緒論 パワーエレクトロニクスにおける基礎理論 パワー半導体デバイス (2 回 ) 整流回路 (2 回 ) 整流回路の交流側特性と他励式インバータ 交流電力制御とサイクロコンバータ 直流チョッパ DC-DC コンバータと共振形コンバータ 自励式インバータ (2 回 )

More information

Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 (

Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 ( Front End Processes 新材料導入によるブレークスルーとその課題 主査 : 窪田通孝 ( ソニー ) *: : 国際対応 副主査 : 丹羽正昭 ( 松下 )* 豊島義明 / 水島一郎 ( 東芝 ) 幹事 : 中西俊郎 ( 富士通研 ) 委員 : 武田安弘 ( 三洋 ) 池田修二 ( トレセンティーテクノロジーズ ) 内田英次 ( 沖 ) 宮武浩 / 藤原伸夫 ( 三菱 ) 北島洋 (Selete)

More information

【NanotechJapan Bulletin】10-9 INNOVATIONの最先端<第4回>

【NanotechJapan Bulletin】10-9 INNOVATIONの最先端<第4回> 企画特集 10-9 INNOVATION の最先端 Life & Green Nanotechnology が培う新技術 < 第 4 回 > プリンテッドエレクトロニクス時代実現に向けた材料 プロセス基盤技術の開拓 NEDO プロジェクトプロジェクトリーダー東京 学教授染 隆夫 に聞く 図6 4 3 解像度を変えた TFT アレイによる電子ペーパー 提供 凸版印刷 株 大面積圧力センサの開発

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 1-1 情報デバイス工学特論 第 1 回 CMOS 集積回路概観 1-2 目的 現在の LSI の主流デバイスであるシリコン CMOS 集積回路を理解する 素子の製法 ( プロセス ) から動作原理 ( デバイス ) 素子の使い方 ( 回路 ) まで総合的に理解する 半導体集積回路 LSI : Large Scale Integrated Circuit 1-3 チップ ウエハ 現在は直径 12 インチ

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 12 回窒化物半導体応用研究会 2011 年 11 月 10 日 ノーマリオフ型 HFET の高性能化 前田就彦 日本電信電話株式会社 NTT フォトニクス研究所 243-0198 神奈川県厚木市森の里若宮 3-1 E-mail: maeda.narihiko@lab.ntt.co.jp 内容 (1) 電力応用におけるノーマリオフ型デバイス (2) / HFETにおけるノーマリオフ化 - デバイス構造のこれまでの展開

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

Microsoft Word - 新規Microsoft Office Word 文書.docx

Microsoft Word - 新規Microsoft Office Word 文書.docx ( ) ENTRAN ENTRAN / DRAM 2 3 () 4 (off chip) MOS Tr 5 6 / SSD / Ⅲ. 研究開発成果 3.3 研究開発項目毎の成果 2 不揮発アーキテクチャの研究開発 不揮発アーキテクチャの研究開発 実施者 : 中央大学, エルピーダメモリ (20 年度のみ ) 目的 研究開発項目 で得られたメモリを用い 現行のアーキテクチャの消費電力に対し実質上 /

More information

電子回路I_8.ppt

電子回路I_8.ppt 電子回路 Ⅰ 第 8 回 電子回路 Ⅰ 9 1 講義内容 1. 半導体素子 ( ダイオードとトランジスタ ) 2. 基本回路 3. 増幅回路 小信号増幅回路 (1) 結合増幅回路 電子回路 Ⅰ 9 2 増幅の原理 増幅度 ( 利得 ) 信号源 増幅回路 負荷 電源 電子回路 Ⅰ 9 3 増幅度と利得 ii io vi 増幅回路 vo 増幅度 v P o o o A v =,Ai =,Ap = = vi

More information

石の上にも10年 10years on Silicon

石の上にも10年 10years on Silicon ものづくりと設計工学 ー半導体と集積回路ー 小林和淑電子システム工学部門スライドのPDF 版は http://www-vlsi.es.kit.ac.jp より 授業 ものづくりと設計工学 1 話の内容 半導体 トランジスタ 集積回路とは どこでもネットワーク 省エネルギー スマホの中身 ナノスケールの巨大さ レポート 2 身近な半導体 半導体技術の進歩のおかげで世の中は飛躍的に便利になった 組み込み機器

More information

Microsoft PowerPoint fujino.ppt

Microsoft PowerPoint fujino.ppt LSI(Large Scale Integration) 概要 大規模集積回路 (LSI) とは何か? 理工学部電子情報デザイン学科藤野毅 LSI はどこに入っているか? PC, 携帯電話, デジカメ, 自動車 etc. LSI の中身にあるトランジスタとその進歩 集積度と速度向上 LSI はどのように計算しているか? LSI はどのようにしてつくられるか? 設計工程 製造工程 LSI に関係するホットな話題

More information

AlGaN/GaN HFETにおける 仮想ゲート型電流コラプスのSPICE回路モデル

AlGaN/GaN HFETにおける 仮想ゲート型電流コラプスのSPICE回路モデル AlGaN/GaN HFET 電流コラプスおよびサイドゲート効果に関する研究 徳島大学大学院先端技術科学教育部システム創生工学専攻電気電子創生工学コース大野 敖研究室木尾勇介 1 AlGaN/GaN HFET 研究背景 高絶縁破壊電界 高周波 高出力デバイス 基地局などで実用化 通信機器の発達 スマートフォン タブレットなど LTE LTE エンベロープトラッキング 低消費電力化 電源電圧を信号に応じて変更

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 2017 年度前期 第 4 回 前回の話 コンピュータバスの構成 データバス I/O (Input/ Output) CPU メモリ アドレスバス コントロールバス コンピュータバスは コンピュータ本体 (CPU) と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である CPU は バス を制御して 複数のデバイス ( メモリや I/O)

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

電子回路I_6.ppt

電子回路I_6.ppt 電子回路 Ⅰ 第 6 回 電子回路 Ⅰ 7 講義内容. 半導体素子 ( ダイオードとトランジスタ ). 基本回路 3. 増幅回路 バイポーラトランジスタの パラメータと小信号等価回路 二端子対回路 パラメータ 小信号等価回路 FET(MOFET) の基本増幅回路と等価回路 MOFET の基本増幅回路 MOFET の小信号等価回路 電子回路 Ⅰ 7 増幅回路の入出力インピーダンス 増幅度 ( 利得 )

More information

Microsoft Word - sp8m4-j.doc

Microsoft Word - sp8m4-j.doc 4V 駆動タイプ Nch+Pch MOS FET 構造シリコン N チャネル / P チャネル MOS 型電界効果トランジスタ 外形寸法図 (Unit : mm) SOP8 5..4.75 (8) (5) 特長 ) 新ライン採用により 従来品よりオン抵抗大幅低減 2) ゲート保護ダイオード内蔵 3) 小型面実装パッケージ (SOP8) で省スペース pin mark () (4).27 3.9 6..2.4Min.

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt シリコンナノワイヤ pfet における正孔移動度 平本俊郎陳杰智, 更屋拓哉東京大学生産技術研究所 hiramoto@nano.iis.u-tokyo.ac.jp 1. ナノワイヤトランジスタの位置付け 2. ナノワイヤ FET の移動度測定 3. ナノワイヤ nfet と pfet の移動度 4. まとめ 本研究の一部は,NEDO のプロジェクト ナノエレクトロニクス半導体材利用 新構造なの電子デバイス技術開発

More information

スライド 1

スライド 1 Shibaura Institute of Technology EDS Fair 2011 国際学会の技術トレンドを読み解く ~ 過去 現在 未来 ~ 低消費電力設計 芝浦工業大学工学部情報工学科 宇佐美公良 低消費電力技術の論文発表件数の推移 論文発表件数 20 18 16 14 12 10 8 6 4 2 0 2007 2008 2009 2010 2011 年 ASP-DAC DAC ICCAD

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

Microsoft PowerPoint EM2_15.ppt

Microsoft PowerPoint EM2_15.ppt ( 第 5 回 ) 鹿間信介摂南大学理工学部電気電子工学科 後半部 (4~5 章 ) のまとめ 4. 導体 4.3 誘電体 5. 磁性体 5. 電気抵抗 演習 導体表面の電界強度 () 外部電界があっても導体内部の電界は ( ゼロ ) になる () 導体の電位は一定 () 導体表面は等電位面 (3) 導体表面の電界は導体に垂直 導体表面と平行な成分があると, 導体表面の電子が移動 導体表面の電界は不連続

More information

Microsoft PowerPoint - tft.ppt [互換モード]

Microsoft PowerPoint - tft.ppt [互換モード] 薄膜トランジスター 九州大学大学院 システム情報科学研究科 服部励治 薄膜トランジスターとは? Thin Film Transistor: TFT ソース電極 ゲート電極 ドレイン電極ソース電極ゲートドレイン電極 n poly 電極 a:h n n ガラス基板 p 基板 TFT 共通点 電界効果型トランジスター nmosfet 相違点 誘電膜上に作成される スタガー型を取りうる 薄膜トランジスター

More information

スライド 1

スライド 1 WG6(PIDS 及び RF&AMS) 活動報告 ロジックおよびメモリデバイスの スケーリングトレンド ~FinFET で大きく変わるロジックトレンド STRJ WS 2013 2014 年 3 月 7 日品川 : コクヨホール WG6 6 主査 : 尾田秀一 ( ルネサスエレクトロニクス ) 1 用語集 PIDS (Process Integration, Devices, and Structures)

More information

高集積化が可能な低電流スピントロニクス素子の開発に成功 ~ 固体電解質を用いたイオン移動で実現低電流 大容量メモリの実現へ前進 ~ 配布日時 : 平成 28 年 1 月 12 日 14 時国立研究開発法人物質 材料研究機構東京理科大学概要 1. 国立研究開発法人物質 材料研究機構国際ナノアーキテクト

高集積化が可能な低電流スピントロニクス素子の開発に成功 ~ 固体電解質を用いたイオン移動で実現低電流 大容量メモリの実現へ前進 ~ 配布日時 : 平成 28 年 1 月 12 日 14 時国立研究開発法人物質 材料研究機構東京理科大学概要 1. 国立研究開発法人物質 材料研究機構国際ナノアーキテクト 高集積化が可能な低電流スピントロニクス素子の開発に成功 ~ 固体電解質を用いたイオン移動で実現低電流 大容量メモリの実現へ前進 ~ 配布日時 : 平成 28 年 1 月 12 日 14 時国立研究開発法人物質 材料研究機構東京理科大学概要 1. 国立研究開発法人物質 材料研究機構国際ナノアーキテクトニクス研究拠点の土屋敬志博士研究員 ( 現在 東京理科大学 ) 寺部一弥グループリーダー 青野正和拠点長らの研究チームは

More information

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O コンピュータ工学講義プリント (1 月 29 日 ) 今回は TA7257P というモータ制御 IC を使って DC モータを制御する方法について学ぶ DC モータの仕組み DC モータは直流の電源を接続すると回転するモータである 回転数やトルク ( 回転させる力 ) は 電源電圧で調整でき 電源の極性を入れ替えると 逆回転するなどの特徴がある 図 1 に DC モータの仕組みを示す DC モータは

More information

SICE東北支部研究集会資料(2014年)

SICE東北支部研究集会資料(2014年) 計測自動制御学会東北支部第 291 回研究集会 (2014 年 10 月 23 日 ) 資料番号 291-12 断熱回路技術を用いた 低消費デジタル PWM 制御回路の設計 Design of low-power digital PWM circuit with adiabatic dynamic CMOS logic 鈴木暖 ( 山形大学 ), 阿部啄也 ( 山形大学 ), 澤田直樹 ( 山形大学

More information

Layout 1

Layout 1 Industrial communication センサーのデータにアクセスする ifm の IO-Link Digital connection technology for sensors とは? 今日のセンサーはシンプルな ON/OFF のセンサーから 大量のデータを処理するマイクロプロセッサーを搭載した高性能なデバイスまで進化してきました センサー内のデータにアクセスする IO-Link は以下の特徴があるインターフェースです

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

TC74HC00AP/AF

TC74HC00AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC00AP,TC74HC00AF Quad 2-Input NAND Gate TC74HC00A は シリコンゲート CMOS 技術を用いた高速 CMOS 2 入力 NAND ゲートです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 内部回路はバッファ付きの 3 段構成であり 高い雑音余裕度と安定な出力が得られます

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

Microsoft PowerPoint - 集積回路工学(11)_LP改_100112

Microsoft PowerPoint - 集積回路工学(11)_LP改_100112 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2//3 集積回路工学 () () 低消費電力設計 デバイスと回路設計 資料は松澤研のホームページ http://ssc.pe.titech.ac.jp にあります 2//3 集積回路工学 () 2 携帯電話 低消費電力技術無しでは携帯機器は実現しない!! 現在の携帯電話は万能の通信 A 機器である 携帯電話 (WCDMA/GSM)

More information

Microsoft PowerPoint lecture-3.ppt

Microsoft PowerPoint lecture-3.ppt 群馬大学工学部電気電子工学科 集積回路システム工学 講義資料 (3) CMOS デジタル集積回路 担当小林春夫 連絡先 : 376-8515 群馬県桐生市天神町 1 丁目 5 番 1 号群馬大学工学部電気電子工学科電話 077 (30) 1788 FAX: 077 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp http://www.el.gunma-u.ac.jp/~kobaweb/

More information

3.5 トランジスタ基本増幅回路 ベース接地基本増幅回路 C 1 C n n 2 R E p v V 2 v R E p 1 v EE 0 VCC 結合コンデンサ ベース接地基本増幅回路 V EE =0, V CC =0として交流分の回路 (C 1, C 2 により短絡 ) トランジスタ

3.5 トランジスタ基本増幅回路 ベース接地基本増幅回路 C 1 C n n 2 R E p v V 2 v R E p 1 v EE 0 VCC 結合コンデンサ ベース接地基本増幅回路 V EE =0, V CC =0として交流分の回路 (C 1, C 2 により短絡 ) トランジスタ 3.4 の特性を表す諸量 入力 i 2 出力 負荷抵抗 4 端子 (2 端子対 ) 回路としての の動作量 (i) 入力インピーダンス : Z i = (ii) 電圧利得 : A v = (iii) 電流利得 : A i = (iv) 電力利得 : A p = i 2 v2 i 2 i 2 =i 2 (v) 出力インピーダンス : Z o = i 2 = 0 i 2 入力 出力 出力インピーダンスの求め方

More information

2STB240PP(AM-2S-G-005)_02

2STB240PP(AM-2S-G-005)_02 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (1 8) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0 7.0 0.3 S+0.3 0.3 CC+0.3 0.7 +75 45 +5 (1)S= 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

単板マイクロチップコンデンサ / 薄膜回路基板

単板マイクロチップコンデンサ / 薄膜回路基板 単板マイクロチップコンデンサ / 薄膜回路基板 2 2 3 単板マイクロチップコンデンサ CLB シリーズ 特長. なめらかで緻密なセラミクスと金電極を用いたシンプルな単板構造であるため 信頼性 周波数特性に優れています 2. 超小型の0.25mm 角からシリーズ化しており 回路の小型化 高密度実装に適しています 3. 金電極を用いているので AuSnによるダイボンディング Au 線によるワイヤーボンディングができます

More information

α α α α α α

α α α α α α α α α α α α 映像情報メディア学会誌 Vol. 71, No. 10 2017 図 1 レーザビーム方式 図 3 PLAS の断面構造 図 3 に PLAS の断面構造を示す PLAS はゲート電極上の チャネル部の部分的な領域のみをフォトマスクとエッチン グなしに結晶化することが可能である 従来のラインビー ム装置はゲート電極上 テーパー上 ガラス上などの表面 の結晶性制御の課題がある

More information

Acrobat Distiller, Job 2

Acrobat Distiller, Job 2 2 3 4 5 Eg φm s M f 2 qv ( q qφ ) = qφ qχ + + qφ 0 0 = 6 p p ( Ei E f ) kt = n e i Q SC = qn W A n p ( E f Ei ) kt = n e i 7 8 2 d φ( x) qn = A 2 dx ε ε 0 s φ qn s 2ε ε A ( x) = ( x W ) 2 0 E s A 2 EOX

More information

Microsoft PowerPoint - 4回 [互換モード]

Microsoft PowerPoint - 4回 [互換モード] 計算機ハードウエア 2018 年度前期第 4 回 前回の話 CPU(SH7145) データバス (32 bit) コンピュータバスの構成 データバス インタフェースデータバス (16 bit) I/O (Input/ put) CPU メモリ I/O アドレスバス (22 bit) メモリ アドレスバス (22 bit) コントロールバス アドレスバス コントロールバス 割り込み信号リセット信号 コンピュータバスは

More information

電子回路基礎

電子回路基礎 電子回路基礎アナログ電子回路 デジタル電子回路の基礎と応用 月曜 2 時限目教室 :D205 天野英晴 hunga@am.ics.keio.ac.jp 講義の構成 第 1 部アナログ電子回路 (4/7, 4/14, 4/21, 5/12, 5/19) 1 ダイオードの動作と回路 2 トランジスタの動作と増幅回路 3 トランジスタ増幅回路の小信号等価回路 4 演算増幅器の動作 5 演算増幅器を使った各種回路の解析

More information

2STB240AA(AM-2S-H-006)_01

2STB240AA(AM-2S-H-006)_01 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (A1 A2) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0.0 0.3 S+0.3 0.3 CC+0.3 10 0. 20 + 4 +12 (1)S=12 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC245AP,TC74HC245AF,TC74HC640AP,TC74HC640AF Octal Bus Traceiver TC74HC245AP/AF 3-State, Non-Inverting TC74HC640AP/AF 3-State, Inverting TC74HC245AP/640AP TC74HC245A/640A

More information

ch3

ch3 3. ゲート回路の基礎 2018 年前期 ディジタル電子回路 3.1 CMOS インバータ i) 構造 G p V GSp V DD S p Q p Q p (pmosfet) は前章の説明とは上下が逆で, 上が S.S は B に接続されているので V GSp は V DD を基準として考える. つまり,V i V DD のとき,V GSp 0 となる. V i = V G V O G n V GSn

More information

Presentation Title Arial 28pt Bold Agilent Blue

Presentation Title Arial 28pt Bold Agilent Blue Agilent EEsof 3D EM Application series 磁気共鳴による無線電力伝送システムの解析 アジレント テクノロジー第 3 営業統括部 EDA アプリケーション エンジニアリングアプリケーション エンジニア 佐々木広明 Page 1 アプリケーション概要 実情と現状の問題点 非接触による電力の供給システムは 以前から研究 実用化されていますが そのほとんどが電磁誘導の原理を利用したシステムで

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

スライド 1

スライド 1 マスク説明書 (CAST-T3 マスク ) 1. マスク概要 2. 各素子の詳細 内容 頁番号 マスク仕様 (1)(2) (03)-(04) ショット レイアウト (1)(2) (05)-(06) パッドブロック (07) コンタクトパッドとその周辺 (08) 測定 PADの構造 (09) SUBPADの構造 (10) スクライブラインの構造 (11) ウェハアライメントマーク (12)-(13)

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

フラッシュメモリの価格ダウンにより SSD 市場が急拡大 SSD はフラッシュとメモリコントローラ IC および周辺回路をプリント基板に搭載したデバイスです 機器組み込み用のモジュールタイプのほか HDD と同サイズでパッケージされたタイプがあります HDD と同じインタフェースを備え 容易に置き換

フラッシュメモリの価格ダウンにより SSD 市場が急拡大 SSD はフラッシュとメモリコントローラ IC および周辺回路をプリント基板に搭載したデバイスです 機器組み込み用のモジュールタイプのほか HDD と同サイズでパッケージされたタイプがあります HDD と同じインタフェースを備え 容易に置き換 シリアル ATA 3Gb/s 対応ハーフスリム SSD SHG2A シリーズ 産業機器のストレージ ソリューション ノートパソコンにおいて HDD のかわりに SSD( ソリッドステートドライブ ) を搭載したモデルが増えています 実は SSD による HDD 代替は 産業機器の分野でいちはやく先行していました SSD はフラッシュメモリを利用したストレージデバイスです HDD と違ってメカニックな機構をもたないため

More information

Microsoft PowerPoint - (3)パネル_中屋フェロー_SP

Microsoft PowerPoint - (3)パネル_中屋フェロー_SP 平成 27 年度 TSC Foresight セミナー ( 第 3 回 ) < 第 3 部パネルディスカッション > 人工知能 ロボットと電子 情報技術が織り成す新たな社会像 - コンピューティング技術 IoT 技術の進展による新たな産業革命ー 国立研究開発法人新エネルギー 産業技術総合開発機構 技術戦略研究センターフェロー 2015 年 11 月 12 日 中屋雅夫 パネリスト モデレータ パネリスト

More information

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D>

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D> 第 4 回情報処理技術講義 コンピュータ計算の基本概念 ( 論理ハードウェア ) 60 これはなんだと思いますか? 携帯電話の開発ボードだそうです 61 ソフト開発をする人でも, ハードウェア知識は必要不可欠である コンピュータの最も基本的要素は論理電子回路であるその中でも以下の3 素子が基本となる (AN, ORは組合して作れる ) NOT NAN NOR 注意 :MOS トランジスタによる実現

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 13. メモリシステム ( 教科書 8 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ選択( 復習 ) MIPS の構造 PC 命令デコーダ 次 PC 計算 mux 32x32 ビットレジスタファイル

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET 1 1. 適用 本は SiC-MOSFET 一体取付形 2 回路ゲートドライバー について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET パワーモジュール BSM180D12P2C101 に直接実装できる形状で SiC-MOSFET のゲート駆動回路と DC-DC コンバータを 1 ユニット化したものです SiC-MOSFET

More information

インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美

インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美 インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美 インテル アーキテクチャ プロセッサロードマップ 2000 年第 4 四半期 2001 年上半期 サーバ / インテル Pentium III インテル Itanium ワークステーション Xeon プロセッサプロセッサ パフォーマンスインテル

More information

3次元LSI集積化技術

3次元LSI集積化技術 3 LSI 3D LSI Integration Technology あらまし LSI 33DI LSI Si TSV Wafer on Wafer WOW 3 45 nm CMOS LSI FeRAM 10 m 200 3 LSI Abstract The conventional enhancement of LSIs based on Moore s Law is approaching its

More information

研究成果報告書

研究成果報告書 様式 C-19 科学研究費補助金研究成果報告書 平成 21 年 6 月 1 日現在 研究種目 : 若手研究 ( スタートアップ ) 研究期間 :27~28 課題番号 :198624 研究課題名 ( 和文 ) InAlAs 酸化膜による III-V-OIMOS 構造の作製および界面準位に関する研究研究課題名 ( 英文 ) III-V-OIMOSstructurebyusingselectivewetoxidationofInAlAs

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 前回簡単に紹介した CMOS は nmos と pmos を相補的に接続した回路構成です 相補的とは pmos,nmos をペアにして入力を共有し pmos が直列接続のときは nmos は並列接続に pmos が並列接続のときは nmos は直列接続にする方法です 現在使われているディジタル回路の 8-9 割は CMOS です CMOS は 1980 年代から急速に発達し 毎年チップ内に格納する素子数が

More information

弱反転領域の電荷

弱反転領域の電荷 平成 6 年度集積回路設計技術 次世代集積回路工学特論資料 微細化による特性への影響 松田順一 本資料は 以下の本をベースに作られている Yanni ivii, Operaion an Moeing of he MOS ranior Secon Eiion,McGraw-Hi, New York, 999. 概要 チャネル長変調 短チャネルデバイス 短チャネル効果 電荷配分 ドレイン ~ ソース電圧の効果

More information