untitled

Size: px
Start display at page:

Download "untitled"

Transcription

1 Recent Our Activities in Si FET Research November 3, 29 IIT Madras, India Hiroshi Iwai Tokyo Institute of Technology

2 Europe 78 Asia 847 North America 12 Africa 16 Oceania 5 South America 24 Total 982 (As of May. 1, 25)

3 Parhat Ahmet D3 D3 D3 D3 D3 D2 D2 D2 D1 D1 D1 D3 Maimaitirexiati Abudukelimu M2 M2 M2 M2 Maimaiti Abudureheman M2 M2 M1 M1 M1 M1 M1 M1 Mokhammad M1 M1 Sholihul Hadi M2 M2 M2 B4

4 ~Iwai Lab.~ Welcome to Iwai Lab. CNT() LSI Large scale Integrated Circuit, ) Intel 1k bit DRAM3LSI Mobile Telephone, Mobile PC, LSI LSILSI 25 3nm.3 m(3 ) CMOS LSILSI CNT() LETI() SELETEUJT Si i-mode Bluetooth CD DVD Si Si MPU 3GHz SiGef T 3GHz IC LSI ULSI 1 cm cm mm 1 µm 1 nm : High-k/metal SiO 2 C ox Gate Large leakage εdε εsio ε 2 = = t t EOT ox Gate Small leakage ox εd = ε SiO2 Size (µm), Voltage(V) MPU Lg Junction depth ITRS Roadmap (at introduction) Gate oxide thickness Min. V supply Year high-k/metal S/D SiNanowire GeMOSFET CNT Si Si High-k EOT Ca2 Sr38 Ba56 Sc21 Y39 Ln Ti 22 Zr 4 Hf 72 1 nm Wave length of electron 3 nm Direct-tunneling limit in SiO 2.3 nm Distance between Si atoms ULTIMATE LIMIT Metal Gate High-k & Metal S/D Source Drain Source Gate Drain BOX SiNanowire or CNT La 57 Ce 58 Pr 59 Nd 6 Pm 61 Sm 62 Eu 63 Gd 64 Tb 65 Dy 66 Ho 67 Er 68 Tm 69 Yb 7 Lu 71 XPS measurement by (κ) ZrO 2 HfO 2 La 2 O 3 Prof. T. Hattori, INFOS HfO 2 23 EOT<1nm SiO Si La 2 O 3 Band Discontinuity [ev] Dielectric Constant

5 Vg = Vg =.2 Vg =.4 Vg =.6 Vg =.8 Vg = 1 Vg = 1.2 La 2 O 3 Metal x 2 Sputt er L/W=2.5/5µm G a t e MB E Source x 3 D r a i n S o u r c e EOT=.5 nm µ eff (cm 2 /Vs) nm Al La 2 O 3 Si-sub. W/La 2 O 3 /nfet, 5 o C anneal Current density (A/cm 2 ) IEDM25 Toshiba LaAlO SrTiO La 2 O 3 Hf based oxides SiON 2-25 IEDM+VLSI papers EOT (nm) Our Work W/La 2 O 3 /nfet, 3 o C anneal E eff (MV/cm) Vd (V) EOT Si_bulk universal EOT=1.26 nm :Ec Ids (A).E+ DOS (density of state) 3.E-3 2.5E-3 2.E-3 1.5E-3 1.E-3 5.E Si_bulk Valence band EOT=.48 nm L/W=2.5/5µm Eg Conduction band Plasma Doping B 2 H 6 (He) Rs (ohm/sq.) B 2 H 6 RF Rs-Xj plots two step This work (single low-g.c.) This work (two step) I/I + FLA ref.1) I/I + LA(melt) ref.2) I/I + LA(melt) ref.3) single low-g.c. 1 1 Xj (@1E18cm -3 ) (nm) Ref.1) T. Ito et al., Ext. Abs. IWJT, S3-1, (22)23. Ref.2) A.Shima, et al., Tech. Dig. of IEDM, p.493,23. Ref.3) T. Yamamoto, et al., Symp. on VLSI Tech. p53, 22. as-doped B Profile [ B ] Concentration ( atoms/cm 3 ) Depth ( nm ) Sheet resistance [ /sq] Metal Source/Drain Source/Drain Effective Work function (ev) Schottky Barrier Height (ev) nm node 7 nm node P + -Si(B) 5 nm node Junction depth x j [nm] Fig.1 Sheet resistance vs.junction depth Metal Metal/Si T.Nishimura et al.,ssdm (26) Er silicide Metal Source/Drain Source Vol.61 No.5 (26) b,e = ev for electron.7 Ni/Er/Si Schottky Barrier Ni/Si_P-Si.2 Ni/Er(3.6nm)/P-Si.1 Ni/Er(1.8nm)/P-Si Annealing temperature ( ) Gate Drain Schottky Ni/Er(3.6nm)/p-Si, 5 o C TEM SiO2 n,p-si Ni Er Metal.1.12eV Pt B Fermi level TEM 2nm EDX 5nm NiSi Si Ni Er Si Sputter Chamber Single crystal (Cubic structure) GeMOSFET :Ev W I ds = µ eff C L S Gate D L g <5nm? Ge Energy band Si Ge GaAs GeO 2 Ring-Gate Transistor A A high-k Ge! A InP W/La 2 O 3 /Ge p-mosfet p+ p+ p+ S Gate Source G Drain G Drain Current (A/µm) ox 1 ( V 2 µ µ h [cm 2 e [cm 2 /Vs] /Vs] g V ) 2 th Self-align Process 4.E-7 3.5E-7 3.E-7 2.5E-7 2.E-7 1.5E-7 1.E-7 5.E-8.E+ W/L=2µm/1µm Vg=-1.4V Vg=-1.2V Vg=-1.V Vg=-.8V Drain voltage (V) D S n-ge A SiNanowire Source Nanowire (1) Source BOX Gate Volume Inversion Drain SiNanowire 1 Eg SOI Gate Drain MOSFET 2nm BOX SiO 2 Si Ioff (na/um) 1nm Bulk DG Ion (ua/um) SEM ITRS (Bulk) ITRS (SOI) dia~1nm Si Nanowire ITRS (DG) dia~3nm bulk FinFET SiNWFET GeNWFET ITRS(Planer) ITRS(SOI) ITRS(DG) Si FET CNT CNT FET Source CNT Drain Gate or CVD CNT SiO 2 SiO 2 SiO 2 Co SiO 2 /Co/SiO 2 /Si SiO 2 /Si SiO 2 /Co/SiO 2 /Si Co n-ch p-ch Charles. M. Lieber, IEDM27 Short course Semiconducting CNT (APG) 2 5nm SiO 2 Co CNT Co Ti FET SiO 2 Ti TEM APG Co CNT Ti APG CoCNT

6 -There will be still 4~6 generations left until we reach 11 ~ 5.5 nm technologies, at which we will reach downscaling limit, in some year between 22-3 (H. Iwai, IWJT28). -Even After reaching the down-scaling limit, we could still continue R & D, seeking sufficiently higher Id-sat under low Vdd. -Two candidates have emerged for R & D 1. Nanowire/tube MOSFETs 2. Alternative channel MOSFETs (III-V, Ge) - Other Beyond CMOS devices are still in the cloud. 5.5nm?* 3 important innovations ITRS figure edited by Iwai Source: 28 ITRS Summer Public Conf. *5.5nm? was added by Iwai 6 6

7 Scaling down approach is very beautiful and imprtant 2 Generations k=.7 2 =.5 scaling if we keep the chip area the same for scaling Single MOFET Chip Vdd.5 Lg.5 Id.5 Cg.5 P (Power)/Clock.5 3 =.125 τ (Switching time).5 N (# of Tr) 1/.5 2 = 4 f (Clock) 1/.5 = 2 P (Power) 1 7

8 - The concerns for limits of down-scaling have been announced for every generation. - However, down-scaling of CMOS is still the royal road * for high performance and low power. - Effort for the down-scaling has to be continued by all means. *Euclid of Alexandria (325BC?-265BC?) There is no royal road to Geometry Mencius (Meng-zi), China (372BC?-289BC?) (Rule of right vs. Rule of military) 8

9 Gate oxide scaling is very important also for suppressing the variation. Random Variability Reduction Scenario in ITRS 27 Normalized σvth Source: 27 ITRS Winter Public Conf. 9

10 EOT (Equivalent gate oxide thickness) is supposed to saturate at.5 Saturation of EOT thinning is a serious roadblock to proper down-scaling short-channel effect & Vth variation for HP Logic EOT (nm) up (bulk) 28up (UTB) 28up (DG) 27 (bulk) 27 (UTB) 27 (DG) 25 (bulk) 25 (UTB) 25 (DG) 23 (bulk) Year Is.5nm real limit? Delay Saturation 1

11 SiO x -IL growth at HfO 2 /Si Interface TEM image 5 o C 3min Intensity (a.u) o C Hf Silicate SiO 2 Si sub Binding energy (ev) Phase separator XPS Si1s spectrum nm W HfO 2 SiO x -IL k=16 k=4 HfO 2 + Si + O 2 HfO 2 + Si + 2O* HfO 2 +SiO 2 H. Shimizu, JJAP, 44, pp Oxygen supplied from W gate electrode D.J.Lichtenwalner, Tans. ECS 11, 319 SiO x -IL is formed after annealing 11 Oxygen control is required for optimizing the reaction

12 La-Silicate Reaction at La 2 O 3 /Si Direct contact high-k/si is possible Intensity (a.u) as depo. 3 o C 5 o C XPS Si1s spectra La-silicate Si sub. TEM image 5 o C, 3 min 1 nm W La 2 O 3 La-silicate k=23 k=8~ Binding energy (ev) 1837 La 2 O 3 + Si + no 2 La 2 SiO 5, La 2 Si 2 O 7, La 9.33 Si 6 O 26, La 1 (SiO 4 ) 6 O 3, etc. La 2 O 3 can achieve direct contact of high-k/si 12

13 Quantum Effect in Gate Stack Gate metal Charge layer capacitance Thickness shown in EOT Poly-Si(1 2 cm -3 ):.3 nm Metal :.1 nm K. Natori, SSDM (25) High-k Gate oxide capacitance High-k (EOT) Si sub. channel Inversion layer capacitance.5 ~.6 nm depending on E eff S. Takagi, TED, 46. pp.1446 (1999) Total parasitic capacitance ~.6nm of EOT A question if the performance improvement can be obtained with EOT<.5nm Is EOT<.5nm achievable? 13

14 EOT<.5nm with Gain in Drive Current (a) EOT=.37nm (b) EOT=.43nm (c) EOT=.48nm V th =-.4V V th =-.3V V th =-.2V Drain current (ma)3.5 W/L=2.5/5µm W/L=5/2.5µm PMA 3 o C (3min) insufficient compensation region 4%up 14%up Drain.4 voltage.6.8 (V).2 Drain.4 voltage.6.8 (V) Drain voltage (V) 14% of I d increase is observed even at saturation region EOT below.4nm is still useful for scaling 14

15 µ eff of W/La 2 O 3 and W/HfO 2 nfet on EOT µ eff (cm 2 /Vs) EOT=.5nm 5 Open: peak mobility Fill:.8MV/cm 5 o C annealed W/La 2 O 3 W/La 2 O o C EOT (nm) W/HfO 2 5 o C annealed W/La 2 O 3 exhibits higher µ eff than W/HfO 2 µ eff start degrades below EOT=1.4nm 15

16 Si nanowire FET with 1D Transport Device scaling Device scaling for future MOSFET electrostatic control for I Reduction in Ioff off Plate-FET Low I off Low V th => high I on Low I off High I on (ballistic) FinFET Nanowire-FET 3 approaches for Ion improvement E-k band dense nanowires One dimensional tranport QC QC QC QC QC: quantum channel 3D stacking

17 Selection of MOSFET structure for high conduction: Nano-wire or Nano-tube FETs is promising 3 methods to realize High-conduction at Low voltage M1 Use 1D ballistic conduction M2 Increase number of quantum channel M3 Increase the number of wire or tube per area 3D integration of wire and tubes For suppression of Ioff, the Nanowire/tube is also good. 17

18 1D conduction per one quantum channel: G = 2e 2 /h = 77.8 µs/wire or tube regardless of gate length and channel material That is 77.8 µa/wire at 1V supply This an extremely high value 18

19 Ioff (na/um) Off Current DG ITRS (Bulk) ITRS (SOI) ITRS (DG) dia~1nm bulk FinFET SiNWFET GeNWFET ITRS(Planer) ITRS(SOI) ITRS(DG) 1 Bulk Si Nanowire dia~3nm Ion (ua/um) 19

20 Ioff (na/um) Off Current DG ITRS (Bulk) ITRS (SOI) ITRS (DG) dia~1nm bulk FinFET SiNWFET GeNWFET ITRS(Planer) ITRS(SOI) ITRS(DG) 1 Bulk Si Nanowire dia~3nm Ion (ua/um) 2

21 Maximum number of wires per 1 µm Front gate type MOS 165 wires /µm 6nm 6nm pitch By nano-imprint method Metal gate electrode(1nm) Surrounded gate type MOS 33 wires/µm 3nm High-k gate insulator (4nm) Si Nano wire (Diameter 2nm) 3nm pitch: EUV lithograpy 21 Surrounded gate MOS

22 Increase the number of wires towards vertical dimension Si SiGe Si (a) Si/SiGe/Si epitaxial wafer (b) Dry Etching (c) Selective Etching (d) H 2 Annealing (e) Gate Oxide (f) Gate, S/D Formation Si SiGe Si SiGe... Si/SiGe multi stacked wafer Dry Etching Selective Etching H 2 Annealing 22

23 27 21 Our new roadmap Extended CMOS: More Moore + CMOS logic PJT(27~212) Si Fin, Tri-gate Si Nano wire Beyond the horizon Si Channel Natural direction of downsizing Diameter = 2nm III-V Ge Nano wire Nanowire Selection Tube CNT Diameter = 1nm - Ribbon Tube, Ribbon Selection Graphene ITRS More Moore Cloud? ITRS Beyond CMOS High conduction By 1D conduction Extended CMOS??? More Moore?? 23

24 Theoretical model Fabrication K. Kakushima, K. Natori, H. Iwai Tokyo Institute of Technology S. Nomura, K. Shiraishi University of Tsukuba K. Ohmori, K. Yamada Waseda University

25 Theoretical model of SiNW FET

26 Landauer Formalism for Ballistic FET Potential Energy µ S µ D x O x max x min From x max to x min [ ] [ ] + + = i B i D B i S i B D T k E T k E g q T k G I ) / ( exp 1 ) / ( exp 1 ln µ µ

27 Carrier Density obtained from E-k Band µ S Q f Q b Energy E 2max µ D E 2min E 2min O x max x min x qv D E 1 µ D E µ S Q = Q f + Qb = k Q b ki q dk = gi + π ( ) i k E i min i k µ S 1+ exp kbt min Q f E 1+ exp dk i ( k) µ D k T B

28 Carrier Density obtained from Band Diagram Energy ϕ 4 p Back Channel Fore Channel G Substrate qv sub D Insulator ϕ 3 qv D Q b Q f Nanowire ϕ 2 ϕ 1 Insulator qv G Gate S C p C G Q C G = µ S µ ( VG Vt ) α q α = 1+ C C P G Position

29 Demonstration of Si Nanowire MOSFET 1 2nm SiNW lowest subband (g=1), second lowest subband (g=3) effective mass=.3m E. Gnani et al. IEEE ED vol. 54, pp. 2243, 27 MOSFET Surrounded gate EOT= 1 nm C G =2.57 pf/cm, C p =

30 IV Characteristics of Ballistic SiNW FET V g -V t =1. V.7 V.3 V T=1K T=3K.5 V Small temperature dependency 35µA/wire for 4 quantum channels

31 Model of Carrier Scattering Linear Potential Approx. Electric Field E F() Elastic Backscatt. Elastic Backscatt. Optical Phonon Emission ε~k B T Source Transmission Probability to Drain G() V(x) Channel Initial Elastic Zone T ( ε ) = x F() G() F() Optical Phonon ε * Optical Phonon Emission Zone Injection from Drain= Transmission Probability : T i x To Drain

32 Résumé of the Compact Model q I = gi [ f( ε, µ s) f( ε, µ D) ] Td i ε π h ( V G µ S V ) α t i µ = q Q f + Q C (Electrostatics requirement) G b. µ S µ D = C qv G D = ln C G 2π ε ox 2r + tox + 2r + t ox 2π ε ox = r + t ln r ox t t ox ox.. Planar Gate GAA q dk 1 1 Q + Q = g T( ε ( k)) dk f b π i ( ) ( ) ( ) i i i ε i k µ S ε i k µ S ε i k µ D 1+ exp 1 exp 1 exp + + kt B kt B kt B T ( ε ) = ( ) 2DqE qex + ε B + D + D qe+ 2mDBln ε (Carrier distribution in Subbands) Unknowns are I D, (µ S -µ ), (µ D -µ ), (Q f +Q b )

33 I-V D Characteritics (RT) Electric current 25 µa No satruration at Large V D

34 Cross section of Si NW First principal calculation, TAPP D=1.96nm D=1.94nm D=1.93nm [1] [11] [111]

35 Si nanowire FET with 1D Transport Orientation [1] [11] [111] Diameter (nm) Energy (ev) -1 G Z G Z G Wave Number (a) Orientation Diameter (nm) 1 Energy (ev) [1] [11] [111] G Z G Z G Wave Number (b) Z Z Small mass with [11] Large number of quantum channels with [1]

36 Effective mass Effective mass of electron (m) Electron [1] [11] [111] Diameter (nm) Effective mass of hole (m) Hole [1] [11] [111] Diamreter (nm) Lighter effective masses make conductance higher Electron [1] [111] > [11] lighter Hole [1] >> [11] [111]

37 Numbers of Quantum Channels Quantum channels denote subband edges within.1 ev from CBM and VBM Number of quantum channels for CB CB [1] [11] [111] Number of quantum channels for VB VB [1] [11] [111] Diameter (nm) Diameter (nm) Quantum channels increase in large wire Quantum channel Passage for transport CB [11] [111] < [1] VB [111] < [11] < [1] more

38 SiNW FET Fabrication

39 SiNW FET Fabrication S/D & Fin Patterning Sacrificial Oxidation 3nm Oixde etch back 3nm SiN sidewall support formation 3nm Gate Oxidation & Poly-Si Deposition Gate Lithography & RIE Etching Gate Sidewall Formation Ni SALISIDE Process (Ni 9nm / TiN 1nm) Backend Standard recipe for gate stack formation

40 Fabricated SiNW FET SiNW SiN support SiN Nanowire Poly-Si 3nm

41 I d V g and I d V d Characteristics I ON Drive Current (µa) (a) 25nm V D =1.V 35nm V D =.5V S.S.= 71mV/dec V th =-.36V L g =2nm Gate Voltage (V) Drive Current (µa) V g -V th =1.V V g -V th =.8V V g -V th =.6V V g -V th =.4V Drain Voltage (V) I on /I off ratio of ~1 7, high I on of 49.6 µa/wire

42 Effective mobility extraction Effective electron mobility (cm 2 /Vs) L g =5nm Number of NWs :64 Univ. curve Si (1) measured at RT.E+ 5.E+12 1.E+13 2.E+13 Inversion Carrier Density (cm -2 ) Source Drain 5µm

43 ?? (a) wire formation BOX (b) (A) (B) (C) sub. 1nm 1nm 1nm 1nm 18nm 25nm

44 Output characteristics of 1x1cm 2 SiNW FET Drain current (A) V d =1.V V d =5mV L g =16nm T ox =3nm (A)1x1nm Gate voltage (V) Drain current (µa) V g -V th =1.2V (step.2v) Drain voltage (V)

45 S. S. and DIBL S.S. (mv/dec.) DIBL (mv/v) (a) (b) (A) (B) (C) SOI 1x1nm 2 1x18nm 2 1x25nm 2 Nice electrostatic control of gate enables small S.S. and DIBL

46 On current assessment I ON (µa /µm) I ON (µa/wire) (a) (b) L g =16nm T ox =3nm wire FETs normalized by perimeter (A) (B) (C) L g =16nm T ox =3nm 1x1nm 2 1x18nm 2 1x25nm 2 SOI A slight enhancement in I ON is observed when normalized by perimeter

47 Obtained Ion with reported data ION (µa) NMOS (8.5) PMOS (1) our work (8) (1) (8) 1x1nm 2 (8) (1) (3) (3) 1x25nm 2 1x18nm 2 (3) (19) Gate Length (nm) Even with large L g, fairly nice I ON have been achieved

48 On current evaluation base on gate width SOI wire S S S S S D Year half-pitch (nm), P (based on ITRS28update) Numbers of wires are determined by the lithographic technology #N= 1(nm) P (at D<P/2) or 1(nm) D+P/2 (at D>P/2)

49 Performance of SiNW FET in ITRS I ON (µa/µm) bulk ITRS SOI DG 75µA/wire L g scaling from 16nm to 8nm 5µA/wire 1 T ox scaling from 3nm to 1.5nm 25µA/wire 5 Sample (A) L g =16nm, 1x1nm x2 x1.5 Year With device scaling in T ox and L g, SiNW FET can exceed the required performance in ITRS

untitled

untitled Tokyo Institute of Technology high-k/ In.53 Ga.47 As MOS - Defect Analysis of high-k/in.53 G a.47 As MOS Capacitor using capacitance voltage method,,, Darius Zade,,, Parhat Ahmet,,,,,, ~InGaAs high-k ~

More information

スライド 1

スライド 1 Front End Processes FEP WG - - NEC 1 ITRS2006 update 2 ITRS vs. 2-1 FET 2-2 Source Drain Extension 2-3 Si-Silicide 2-4 2-5 1 , FEP Front End Processes Starting Materials: FEP Si,, SOI SOI: Si on Insulator,

More information

untitled

untitled /Si FET /Si FET Improvement of tunnel FET performance using narrow bandgap semiconductor silicide Improvement /Si hetero-structure of tunnel FET performance source electrode using narrow bandgap semiconductor

More information

untitled

untitled 213 74 AlGaN/GaN Influence of metal material on capacitance for Schottky-gated AlGaN/GaN 1, 2, 1, 2, 2, 2, 2, 2, 2, 2, 1, 1 1 AlGaN/GaN デバイス ① GaNの優れた物性値 ② AlGaN/GaN HEMT構造 ワイドバンドギャップ半導体 (3.4eV) 絶縁破壊電界が大きい

More information

スライド 1

スライド 1 High-k & Selete 1 2 * * NEC * # * # # 3 4 10 Si/Diamond, Si/SiC, Si/AlOx, Si Si,,, CN SoC, 2007 2010 2013 2016 2019 Materials Selection CZ Defectengineered SOI: Bonded, SIMOX, SOI Emerging Materials Various

More information

MOSFET HiSIM HiSIM2 1

MOSFET HiSIM HiSIM2 1 MOSFET 2007 11 19 HiSIM HiSIM2 1 p/n Junction Shockley - - on-quasi-static - - - Y- HiSIM2 2 Wilson E f E c E g E v Bandgap: E g Fermi Level: E f HiSIM2 3 a Si 1s 2s 2p 3s 3p HiSIM2 4 Fermi-Dirac Distribution

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション STRJ ITRS 2003 LSI 2004.3.4. MIRAI 100nmCMOS - Si SOI CMOS SOI MOSFET CMOS 100nmCMOS trade-off Sub 100 nm CMOS trade-off x j (ext. conc.) Nsub Vdd Vth design EOT S or Si Nsub EOT something S/D EOT SiGe

More information

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt

Microsoft PowerPoint - 応物シンポジウム201003ナノワイヤ21.ppt シリコンナノワイヤ pfet における正孔移動度 平本俊郎陳杰智, 更屋拓哉東京大学生産技術研究所 hiramoto@nano.iis.u-tokyo.ac.jp 1. ナノワイヤトランジスタの位置付け 2. ナノワイヤ FET の移動度測定 3. ナノワイヤ nfet と pfet の移動度 4. まとめ 本研究の一部は,NEDO のプロジェクト ナノエレクトロニクス半導体材利用 新構造なの電子デバイス技術開発

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Drain Voltage (mv) 4 2 0-2 -4 0.0 0.2 0.4 0.6 0.8 1.0 Gate Voltage (V) Vds [V] 0.2 0.1 0.0-0.1-0.2-10 -8-6 -4-2 0 Vgs [V] 10 1000 1000 1000 1000 (LSI) Fe Catalyst Fe Catalyst Carbon nanotube 1~2 nm

More information

untitled

untitled MOSFET 17 1 MOSFET.1 MOS.1.1 MOS.1. MOS.1.3 MOS 4.1.4 8.1.5 9. MOSFET..1 1.. 13..3 18..4 18..5 0..6 1.3 MOSFET.3.1.3. Poon & Yau 3.3.3 LDD MOSFET 5 3.1 3.1.1 6 3.1. 6 3. p MOSFET 3..1 8 3.. 31 3..3 36

More information

スライド 1

スライド 1 Matsuura Laboratory SiC SiC 13 2004 10 21 22 H-SiC ( C-SiC HOY Matsuura Laboratory n E C E D ( E F E T Matsuura Laboratory Matsuura Laboratory DLTS Osaka Electro-Communication University Unoped n 3C-SiC

More information

untitled

untitled 20101221JST (SiC - Buried Gate Static Induction Transistor: SiC-BGSIT) SOURCE GATE N source layer p + n p + n p + n p+ n drift layer n + substrate DRAIN SiC-BGSIT (mωcm 2 ) 200 100 40 10 4 1 Si limit

More information

PowerPoint Presentation

PowerPoint Presentation / 2008/04/04 Ferran Salleras 1 2 40Gb/s 40Gb/s PC QD PC: QD: e.g. PCQD PC/QD 3 CP-ON SP T CP-OFF PC/QD-SMZ T ~ps, 40Gb/s ~100fJ T CP-ON CP-OFF 500µm500µm Photonic Crystal SMZ K. Tajima, JJAP, 1993. Control

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2004 SPring-8 2004/6/21 CMOS 2004 2007 2010 2013 nm 90 65 45 32 (nm) 1.2 0.9 0.7 0.6 High-performance Logic Technology Requirements (ITRS 2003) 10 Photoelectron Intensity (arb.units) CTR a-sio2 0.1 HfO

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 61 回応用物理学会 青山学院大学相模原キャンパス 春季学術講演会 2014 年 3 月 18 日 ( 火曜日 ) La 2 O 3 /InGaAs 界面ラフネスに及ぼす ALD プロセスの影響 Impact of ALD process on La 2 O 3 /InGaAs interface roughness 大嶺洋 1,Dariush Hassan Zadeh 1, 角嶋邦之 2, 片岡好則

More information

untitled

untitled 2013 74 Tokyo Institute of Technology AlGaN/GaN C Annealing me Dependent Contact Resistance of C Electrodes on AlGaN/GaN, Tokyo Tech.FRC, Tokyo Tech. IGSSE, Toshiba, Y. Matsukawa, M. Okamoto, K. Kakushima,

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

OPA134/2134/4134('98.03)

OPA134/2134/4134('98.03) OPA OPA OPA OPA OPA OPA OPA OPA OPA TM µ Ω ± ± ± ± + OPA OPA OPA Offset Trim Offset Trim Out A V+ Out A Out D In +In V+ Output In A +In A A B Out B In B In A +In A A D In D +In D V NC V +In B V+ V +In

More information

Frontier Simulation Software for Industrial Science

Frontier Simulation Software for Industrial Science PACS-CS FIRST 2005 2005 2 16 17 2 28 2 17 2 28 3 IT IT H14~H16 CHASE CHASE-3PT Protein Protein-DF ABINIT-MP 17 2 28 4 CMOS Si-CMOS CMOS-LSI CMOS ATP 10nm 17 2 28 5 17 2 28 6 CMOS CMOS-LSI LSI 90nm CMOS

More information

スライド 1

スライド 1 STRJ WS: March 9, 2006, 0.35µm 0.8µm 0.3µm STRJ WS: March 9, 2006, 2 0.35µm Lot-to-Lot, Wafer-to-Wafer, Die-to-Die(D2D) D2D 0.8µm (WID: Within Die) D2D vs. WID 0.3µm D2Dvs. WID STRJ WS: March 9, 2006,

More information

音響部品アクセサリ本文(AC06)PDF (Page 16)

音響部品アクセサリ本文(AC06)PDF (Page 16) Guide for Electret Condenser Microphones A microphone as an audio-electric converting device, whose audio pickup section has a structure of a condenser consisting of a diaphragm and a back plate opposite

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

2 1 7 - TALK ABOUT 21 μ TALK ABOUT 21 Ag As Se 2. 2. 2. Ag As Se 1 2 3 4 5 6 7 8 9 1 1 2 3 4 5 6 7 8 9 1 1 2 3 4 5 6 7 8 9 1 Sb Ga Te 2. Sb 2. Ga 2. Te 1 2 3 4 5 6 7 8 9 1 1 2 3 4 5 6 7 8 9 1 1 2 3 4

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index

42 1 Fig. 2. Li 2 B 4 O 7 crystals with 3inches and 4inches in diameter. Fig. 4. Transmission curve of Li 2 B 4 O 7 crystal. Fig. 5. Refractive index MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 42, No. 1, 2008 Li 2 B 4 O 7 (LBO) *, ** * ** ** Optical Scatterer and Crystal Growth Technology of LBO Single Crystal For Development with Optical Application

More information

Triple 2:1 High-Speed Video Multiplexer (Rev. C

Triple 2:1 High-Speed Video Multiplexer (Rev. C www.tij.co.jp OPA3875 µ ± +5V µ RGB Channel OPA3875 OPA3875 (Patented) RGB Out SELECT ENABLE RED OUT GREEN OUT BLUE OUT 1 R G B RGB Channel 1 R1 G1 B1 X 1 Off Off Off 5V Channel Select EN OPA875 OPA4872

More information

藤村氏(論文1).indd

藤村氏(論文1).indd Nano-pattern profile control technology using reactive ion etching Megumi Fujimura, Yasuo Hosoda, Masahiro Katsumura, Masaki Kobayashi, Hiroaki Kitahara Kazunobu Hashimoto, Osamu Kasono, Tetsuya Iida,

More information

Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been s

Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been s Rate of Oxidation of Liquid Iron by Pure Oxygen Shiro BAN-YA and Jae-Dong SHIM Synopsis: The rate of oxidation of liquid iron by oxygen gas has been studied using a volume constant technique. The process

More information

untitled

untitled CAPEC, 2009 6 16 June 16, 2009 Page 1 CAPEC EMS 1. EMS USA EU 2. EMS 3. EMS 4. EMS 5. CAPEC 6. EMS June 16, 2009 Page 2 EMS EC 3 EMS EMS EMS EMS CAPEC EMS CAPEC EMS EMS June 16, 2009 Page 3 EU EU EC 1997/67/EC

More information

日立金属技報 Vol.34

日立金属技報 Vol.34 Influence of Misorientation Angle between Adjacent Grains on Magnetization Reversal in Nd-Fe-B Sintered Magnet Tomohito Maki Rintaro Ishii Mitsutoshi Natsumeda Takeshi Nishiuchi Ryo Uchikoshi Masaaki Takezawa

More information

Mikio Yamamoto: Dynamical Measurement of the E-effect in Iron-Cobalt Alloys. The AE-effect (change in Young's modulus of elasticity with magnetization

Mikio Yamamoto: Dynamical Measurement of the E-effect in Iron-Cobalt Alloys. The AE-effect (change in Young's modulus of elasticity with magnetization Mikio Yamamoto: Dynamical Measurement of the E-effect in Iron-Cobalt Alloys. The AE-effect (change in Young's modulus of elasticity with magnetization) in the annealed state of iron-cobalt alloys has been

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

devicemondai

devicemondai c 2019 i 3 (1) q V I T ε 0 k h c n p (2) T 300 K (3) A ii c 2019 i 1 1 2 13 3 30 4 53 5 78 6 89 7 101 8 112 9 116 A 131 B 132 c 2019 1 1 300 K 1.1 1.5 V 1.1 qv = 1.60 10 19 C 1.5 V = 2.4 10 19 J (1.1)

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

OPA277/2277/4277 (2000.1)

OPA277/2277/4277 (2000.1) R OPA OPA OPA OPA OPA OPA OPA OPA OPA µ µ ± ± µ OPA ±± ±± ± µ Offset Trim Offset Trim In OPA +In -Pin DIP, SO- Output NC OPA Out A In A +In A A D Out D In D +In D Out A In A +In A A B Out B In B +In B

More information

Huawei G6-L22 QSG-V100R001_02

Huawei  G6-L22 QSG-V100R001_02 G6 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 1 2 3 17 4 5 18 UI 100% 8:08 19 100% 8:08 20 100% 8:08 21 100% 8:08 22 100% 8:08 ********** 23 100% 8:08 Happy birthday! 24 S S 25 100% 8:08 26 http://consumer.huawei.com/jp/

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

ELECTRONIC IMAGING IN ASTRONOMY Detectors and Instrumentation 5 Instrumentation and detectors

ELECTRONIC IMAGING IN ASTRONOMY  Detectors and Instrumentation   5 Instrumentation and detectors ELECTRONIC IMAGING IN ASTRONOMY Detectors and Instrumentation 5 Instrumentation and detectors 4 2017/5/10 Contents 5.4 Interferometers 5.4.1 The Fourier Transform Spectrometer (FTS) 5.4.2 The Fabry-Perot

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

燃焼圧センサ

燃焼圧センサ 49 Combustion Pressure Sensor Kouji Tsukada, Masaharu Takeuchi, Sanae Tokumitsu, Yoshiteru Ohmura, Kazuyoshi Kawaguchi π 1000N 150 225N 1 F.S Abstract A new combustion pressure sensor capable of measuring

More information

36 th IChO : - 3 ( ) , G O O D L U C K final 1

36 th IChO : - 3 ( ) , G O O D L U C K final 1 36 th ICh - - 5 - - : - 3 ( ) - 169 - -, - - - - - - - G D L U C K final 1 1 1.01 2 e 4.00 3 Li 6.94 4 Be 9.01 5 B 10.81 6 C 12.01 7 N 14.01 8 16.00 9 F 19.00 10 Ne 20.18 11 Na 22.99 12 Mg 24.31 Periodic

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

The Evaluation on Impact Strength of Structural Elements by Means of Drop Weight Test Elastic Response and Elastic Limit by Hiroshi Maenaka, Member Sh

The Evaluation on Impact Strength of Structural Elements by Means of Drop Weight Test Elastic Response and Elastic Limit by Hiroshi Maenaka, Member Sh The Evaluation on Impact Strength of Structural Elements by Means of Drop Weight Test Elastic Response and Elastic Limit by Hiroshi Maenaka, Member Shigeru Kitamura, Member Masaaki Sakuma Genya Aoki, Member

More information

Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Enginee

Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Enginee Study on Application of the cos a Method to Neutron Stress Measurement Toshihiko SASAKI*3 and Yukio HIROSE Department of Materials Science and Engineering, Kanazawa University, Kakuma-machi, Kanazawa-shi,

More information

hν 688 358 979 309 308.123 Hz α α α α α α No.37 に示す Ti Sa レーザーで実現 術移転も成功し 図 9 に示すよ うに 2 時間は連続測定が可能な システムを実現した Advanced S o l i d S t a t e L a s e r s 2016, JTu2A.26 1-3. 今後は光周波 数比計測装置としてさらに改良 を加えていくとともに

More information

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2

1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2 Introduction TEG 2005.10.4 @KEK 1 1. Introduction SOI(Silicon-On-Insulator) Monolithic Pixel Detector ~µm) 2005.10.4 @KEK 2 SOI 2005.10.4 @KEK 3 SOI : Smart Cut (UNIBOND) by SOITEC Low-R Hi-R 2005.10.4

More information

untitled

untitled SPring-8 RFgun JASRI/SPring-8 6..7 Contents.. 3.. 5. 6. 7. 8. . 3 cavity γ E A = er 3 πε γ vb r B = v E c r c A B A ( ) F = e E + v B A A A A B dp e( v B+ E) = = m d dt dt ( γ v) dv e ( ) dt v B E v E

More information

Clustering in Time and Periodicity of Strong Earthquakes in Tokyo Masami OKADA Kobe Marine Observatory (Received on March 30, 1977) The clustering in time and periodicity of earthquake occurrence are investigated

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

LMC6082 Precision CMOS Dual Operational Amplifier (jp)

LMC6082 Precision CMOS Dual Operational Amplifier (jp) Precision CMOS Dual Operational Amplifier Literature Number: JAJS760 CMOS & CMOS LMC6062 CMOS 19911126 33020 23900 11800 ds011297 Converted to nat2000 DTD Edited for 2001 Databook SGMLFIX:PR1.doc Fixed

More information

塗装深み感の要因解析

塗装深み感の要因解析 17 Analysis of Factors for Paint Depth Feeling Takashi Wada, Mikiko Kawasumi, Taka-aki Suzuki ( ) ( ) ( ) The appearance and quality of objects are controlled by paint coatings on the surfaces of the objects.

More information

特-7.indd

特-7.indd Mechanical Properties and Weldability of Turbine Impeller Materials for High Temperature Exhaust Gas Turbocharger 1 000 1 050 246 IN100 The increase in environmental awareness in recent years has led to

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. E-mail: {ytamura,takai,tkato,tm}@vision.kuee.kyoto-u.ac.jp Abstract Current Wave Pattern Analysis for Anomaly

More information

T05_Nd-Fe-B磁石.indd

T05_Nd-Fe-B磁石.indd Influence of Intergranular Grain Boundary Phases on Coercivity in Nd-Fe-B-based Magnets Takeshi Nishiuchi Teruo Kohashi Isao Kitagawa Akira Sugawara Hiroyuki Yamamoto To determine how to increase the coercivity

More information

DIN Connector_p2-25.qxd

DIN Connector_p2-25.qxd INDEX 1 DIN Connectors Varieties of DIN Connectors, Kinked Contact and One Touch Lock Metal Tab Kinked Contacts One Touch Lock Metal Tabs 2 Spec Sheet Soldering/Wire wrapping type Pitch Rated current 3A

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

1 2 3

1 2 3 INFORMATION FOR THE USER DRILL SELECTION CHART CARBIDE DRILLS NEXUS DRILLS DIAMOND DRILLS VP-GOLD DRILLS TDXL DRILLS EX-GOLD DRILLS V-GOLD DRILLS STEEL FRAME DRILLS HARD DRILLS V-SELECT DRILLS SPECIAL

More information

udc-2.dvi

udc-2.dvi 13 0.5 2 0.5 2 1 15 2001 16 2009 12 18 14 No.39, 2010 8 2009b 2009a Web Web Q&A 2006 2007a20082009 2007b200720082009 20072008 2009 2009 15 1 2 2 2.1 18 21 1 4 2 3 1(a) 1(b) 1(c) 1(d) 1) 18 16 17 21 10

More information

1.06μm帯高出力高寿命InGaAs歪量子井戸レーザ

1.06μm帯高出力高寿命InGaAs歪量子井戸レーザ rjtenmy@ipc.shizuoka.ac.jp ZnO RPE-MOCVD UV- ZnO MQW LED/PD & Energy harvesting LED ( ) PV & ZnO... 1970 1980 1990 2000 2010 SAW NTT ZnO LN, LT IC PbInAu/PbBi Nb PIN/FET LD/HBT 0.98-1.06m InGaAs QW-LD

More information

positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) MeV : thermalization m psec 100

positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) MeV : thermalization m psec 100 positron 1930 Dirac 1933 Anderson m 22Na(hl=2.6years), 58Co(hl=71days), 64Cu(hl=12hour) 68Ge(hl=288days) 0.5 1.5MeV : thermalization 10 100 m psec 100psec nsec E total = 2mc 2 + E e + + E e Ee+ Ee-c mc

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new e

On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new e On the Wireless Beam of Short Electric Waves. (VII) (A New Electric Wave Projector.) By S. UDA, Member (Tohoku Imperial University.) Abstract. A new electric wave projector is proposed in this paper. The

More information

MOS FET c /(17)

MOS FET c /(17) 1 -- 7 1 2008 9 MOS FT 1-1 1-2 1-3 1-4 c 2011 1/(17) 1 -- 7 -- 1 1--1 2008 9 1 1 1 1(a) VVS: Voltage ontrolled Voltage Source v in µ µ µ 1 µ 1 vin 1 + - v in 2 2 1 1 (a) VVS( ) (b) S( ) i in i in 2 2 1

More information

3次元LSI集積化技術

3次元LSI集積化技術 3 LSI 3D LSI Integration Technology あらまし LSI 33DI LSI Si TSV Wafer on Wafer WOW 3 45 nm CMOS LSI FeRAM 10 m 200 3 LSI Abstract The conventional enhancement of LSIs based on Moore s Law is approaching its

More information

24 Depth scaling of binocular stereopsis by observer s own movements

24 Depth scaling of binocular stereopsis by observer s own movements 24 Depth scaling of binocular stereopsis by observer s own movements 1130313 2013 3 1 3D 3D 3D 2 2 i Abstract Depth scaling of binocular stereopsis by observer s own movements It will become more usual

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

浜松医科大学紀要

浜松医科大学紀要 On the Statistical Bias Found in the Horse Racing Data (1) Akio NODA Mathematics Abstract: The purpose of the present paper is to report what type of statistical bias the author has found in the horse

More information

42 3 u = (37) MeV/c 2 (3.4) [1] u amu m p m n [1] m H [2] m p = (4) MeV/c 2 = (13) u m n = (4) MeV/c 2 =

42 3 u = (37) MeV/c 2 (3.4) [1] u amu m p m n [1] m H [2] m p = (4) MeV/c 2 = (13) u m n = (4) MeV/c 2 = 3 3.1 3.1.1 kg m s J = kg m 2 s 2 MeV MeV [1] 1MeV=1 6 ev = 1.62 176 462 (63) 1 13 J (3.1) [1] 1MeV/c 2 =1.782 661 731 (7) 1 3 kg (3.2) c =1 MeV (atomic mass unit) 12 C u = 1 12 M(12 C) (3.3) 41 42 3 u

More information

03J_sources.key

03J_sources.key Radiation Detection & Measurement (1) (2) (3) (4)1 MeV ( ) 10 9 m 10 7 m 10 10 m < 10 18 m X 10 15 m 10 15 m ......... (isotope)...... (isotone)......... (isobar) 1 1 1 0 1 2 1 2 3 99.985% 0.015% ~0% E

More information

yasi10.dvi

yasi10.dvi 2002 50 2 259 278 c 2002 1 2 2002 2 14 2002 6 17 73 PML 1. 1997 1998 Swiss Re 2001 Canabarro et al. 1998 2001 1 : 651 0073 1 5 1 IHD 3 2 110 0015 3 3 3 260 50 2 2002, 2. 1 1 2 10 1 1. 261 1. 3. 3.1 2 1

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

soturon.dvi

soturon.dvi 12 Exploration Method of Various Routes with Genetic Algorithm 1010369 2001 2 5 ( Genetic Algorithm: GA ) GA 2 3 Dijkstra Dijkstra i Abstract Exploration Method of Various Routes with Genetic Algorithm

More information

IIC Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric

IIC Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric IIC-1-19 Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric Vehicle Toru Suzuki, Hiroshi Fujimoto (Yokohama National

More information

1 1 H Li Be Na M g B A l C S i N P O S F He N Cl A e K Ca S c T i V C Mn Fe Co Ni Cu Zn Ga Ge As Se B K Rb S Y Z Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb T e

1 1 H Li Be Na M g B A l C S i N P O S F He N Cl A e K Ca S c T i V C Mn Fe Co Ni Cu Zn Ga Ge As Se B K Rb S Y Z Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb T e No. 1 1 1 H Li Be Na M g B A l C S i N P O S F He N Cl A e K Ca S c T i V C Mn Fe Co Ni Cu Zn Ga Ge As Se B K Rb S Y Z Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb T e I X e Cs Ba F Ra Hf Ta W Re Os I Rf Db Sg Bh

More information

Vol. 36, Special Issue, S 3 S 18 (2015) PK Phase I Introduction to Pharmacokinetic Analysis Focus on Phase I Study 1 2 Kazuro Ikawa 1 and Jun Tanaka 2

Vol. 36, Special Issue, S 3 S 18 (2015) PK Phase I Introduction to Pharmacokinetic Analysis Focus on Phase I Study 1 2 Kazuro Ikawa 1 and Jun Tanaka 2 Vol. 36, Special Issue, S 3 S 18 (2015) PK Phase I Introduction to Pharmacokinetic Analysis Focus on Phase I Study 1 2 Kazuro Ikawa 1 and Jun Tanaka 2 1 2 1 Department of Clinical Pharmacotherapy, Hiroshima

More information

EVALUATION OF NOCTURNAL PENILE TUMESCENCE (NPT) IN THE DIFFERENTIAL DIAGNOSIS OF IMPOTENCE Masaharu Aoki, Yoshiaki Kumamoto, Kazutomi Mohri and Kazunori Ohno Department of Urology, Sapporo Medical College

More information

Donald Carl J. Choi, β ( )

Donald Carl J. Choi, β ( ) :: α β γ 200612296 20 10 17 1 3 2 α 3 2.1................................... 3 2.2................................... 4 2.3....................................... 6 2.4.......................................

More information

1 1.1,,,.. (, ),..,. (Fig. 1.1). Macro theory (e.g. Continuum mechanics) Consideration under the simple concept (e.g. ionic radius, bond valence) Stru

1 1.1,,,.. (, ),..,. (Fig. 1.1). Macro theory (e.g. Continuum mechanics) Consideration under the simple concept (e.g. ionic radius, bond valence) Stru 1. 1-1. 1-. 1-3.. MD -1. -. -3. MD 1 1 1.1,,,.. (, ),..,. (Fig. 1.1). Macro theory (e.g. Continuum mechanics) Consideration under the simple concept (e.g. ionic radius, bond valence) Structural relaxation

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

untitled

untitled 27.2.9 TOF-SIMS SIMS TOF-SIMS SIMS Mass Spectrometer ABCDE + ABC+ DE + Primary Ions: 1 12 ions/cm 2 Molecular Fragmentation Region ABCDE ABCDE 1 15 atoms/cm 2 Molecular Desorption Region Why TOF-SIMS?

More information

Fig. 1. Relation between magnetron anode current and anode-cathod voltage. Fig. 2. Inverter circuit for driving a magnetron. 448 T. IEE Japan, Vol. 11

Fig. 1. Relation between magnetron anode current and anode-cathod voltage. Fig. 2. Inverter circuit for driving a magnetron. 448 T. IEE Japan, Vol. 11 High Frequency Inverter for Microwave Oven Norikazu Tokunaga, Member, Yasuo Matsuda, Member, Kunio Isiyama, Non-member (Hitachi, Ltd.), Hisao Amano, Member (Hitachi Engineering, Co., Ltd.). Recently resonant

More information

原稿.indd

原稿.indd OTEC 18(2013),5968 小型の波浪発電を想定した浮体運動の最大化を目的とした浮体形状に関する研究 59 *1 *1 *2 Studies on the floating body shape to maximize the kinetic energy that are intended to be small wave power generator Shunya NISHIZAWA

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

*1 *2 *1 JIS A X TEM 950 TEM JIS Development and Research of the Equipment for Conversion to Harmless Substances and Recycle of Asbe

*1 *2 *1 JIS A X TEM 950 TEM JIS Development and Research of the Equipment for Conversion to Harmless Substances and Recycle of Asbe *1 *2 *1 JIS A 14812008X TEM 950 TEM 1 2 3 4 JIS Development and Research of the Equipment for Conversion to Harmless Substances and Recycle of Asbestos with Superheated Steam Part 3 An evaluation with

More information

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori Proposal and Characteristics Evaluation of a Power Generation System Utilizing Waste Heat from Factories for Load Leveling Pyong Sik Pak, Member, Takashi Arima, Non-member (Osaka University) In this paper,

More information

LP3470 Tiny Power On Reset Circuit (jp)

LP3470  Tiny Power On Reset Circuit (jp) Tiny Power On Reset Circuit Literature Number: JAJS547 IC ( C) CMOS IC 2.63V 2.93V 3.08V 3.65V 4.00V 4.38V 4.63V 6 (V RTH ) 2.4V 5.0V V CC (L ow ) ( ) V CC ( ) IC SOT23-5 1 : 2.63V 2.93V 3.08V 3.65V 4.00V

More information

磁気測定によるオーステンパ ダクタイル鋳鉄の残留オーステナイト定量

磁気測定によるオーステンパ ダクタイル鋳鉄の残留オーステナイト定量 33 Non-destructive Measurement of Retained Austenite Content in Austempered Ductile Iron Yoshio Kato, Sen-ichi Yamada, Takayuki Kato, Takeshi Uno Austempered Ductile Iron (ADI) 100kg/mm 2 10 ADI 10 X ADI

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

J. Jpn. Inst. Light Met. 65(6): 224-228 (2015)

J. Jpn. Inst. Light Met. 65(6): 224-228 (2015) 65 62015 224 228 ** Journal of The Japan Institute of Light Metals, Vol. 65, No. 6 (2015), 224 228 2015 The Japan Institute of Light Metals Investigation of heat flow behavior on die-casting core pin with

More information

土木学会構造工学論文集(2011.3)

土木学会構造工学論文集(2011.3) Vol.57A (11 3 ) RC Consecutve falling-weight impact test of large-scale RC girders under specified total input-impact energy * ** *** **** ***** Norimitsu Kishi, Hisashi Konno, Satoru Yamaguchi, Hiroshi

More information

5 11 3 1....1 2. 5...4 (1)...5...6...7...17...22 (2)...70...71...72...77...82 (3)...85...86...87...92...97 (4)...101...102...103...112...117 (5)...121...122...123...125...128 1. 10 Web Web WG 5 4 5 ²

More information

( ) ,

( ) , II 2007 4 0. 0 1 0 2 ( ) 0 3 1 2 3 4, - 5 6 7 1 1 1 1 1) 2) 3) 4) ( ) () H 2.79 10 10 He 2.72 10 9 C 1.01 10 7 N 3.13 10 6 O 2.38 10 7 Ne 3.44 10 6 Mg 1.076 10 6 Si 1 10 6 S 5.15 10 5 Ar 1.01 10 5 Fe 9.00

More information

LMC6022 Low Power CMOS Dual Operational Amplifier (jp)

LMC6022 Low Power CMOS Dual Operational Amplifier (jp) Low Power CMOS Dual Operational Amplifier Literature Number: JAJS754 CMOS CMOS (100k 5k ) 0.5mW CMOS CMOS LMC6024 100k 5k 120dB 2.5 V/ 40fA Low Power CMOS Dual Operational Amplifier 19910530 33020 23900

More information

Estimation of Photovoltaic Module Temperature Rise Motonobu Yukawa, Member, Masahisa Asaoka, Non-member (Mitsubishi Electric Corp.) Keigi Takahara, Me

Estimation of Photovoltaic Module Temperature Rise Motonobu Yukawa, Member, Masahisa Asaoka, Non-member (Mitsubishi Electric Corp.) Keigi Takahara, Me Estimation of Photovoltaic Module Temperature Rise Motonobu Yukawa, Member, Masahisa Asaoka, Non-member (Mitsubishi Electric Corp.) Keigi Takahara, Member (Okinawa Electric Power Co.,Inc.) Toshimitsu Ohshiro,

More information