Quartus II Integrated Synthesis, Quartus II 6.0 Handbook, Volume 1

Size: px
Start display at page:

Download "Quartus II Integrated Synthesis, Quartus II 6.0 Handbook, Volume 1"

Transcription

1 7. Quartus II QII Quartus II VHDL Verilog HDL Quartus II Quartus II Quartus II Quartus II HDL Quartus II HDL Quartus II VHDL & Verilog HDL Quartus II Altera Corporation 7 1

2 Quartus II Volume 1 Quartus II Analysis & Synthesis Verilog HDL VHDL 7 5 SystemVerilog LE ALM Quartus II Analysis & Synthesis Analyze Current File Processing Analyze Current File Analysis & Elaboration Processing Start Start Analysis & Elaboration Analysis & Synthesis Processing Start Start Analysis & Synthesis Quartus II Quartus II 1. Quartus II 2. Quartus II 3. Setting Files Quartus II Quartus II 7 2 Altera Corporation Preliminary

3 5. Quartus II Processing Start Start Analysis & Synthesis Processing Start Compilation 6. Quartus II TimeQuest Quartus II Quartus II Quartus II Quartus II Introduction to Quartus II Manual Altera Corporation 7 3 Preliminary

4 Quartus II Volume Quartus II 7-1. Quartus II Quartus II Verilog HDL VHDL AHDL BDF Formal Verification Using Source Code as Golden Netlist Functional/RTL Simulation Constraints & Settings Analysis & Synthesis Internal Synthesis Netlist Post Synthesis Simulation File (.vho/.vo) Gate-Level Functional Simulation Constraints & Settings Fitter Assembler Timing Analyzer Gate-Level Timing Simulation Post Place-and-Route Simulation File (.vho/.vo) No Timing & Area Requirements Satisfied? Yes Formal Verification Using VO as Revised Netlist Post Place-and-Route Formal Verification File (.vo) Configuration/ Programming Files (.sof/.pof) Configure/Program Device 7 4 Altera Corporation Preliminary

5 HDL Quartus II 1 Quartus II Verilog HDL Quartus II Verilog HDL Verilog-1995 IEEE Verilog-2001 IEEE System Verilog-2005 IEEE Quartus II Verilog HDL 1. Assignments Settings 2. Settings Category Analysis & Synthesis Settings Verilog HDL Input OK 3. Verilog HDL Input Verilog version Verilog OK Verilog HDL 7 26 Verilog VHDL Quartus II Verilog-2001 Verilog HDL Verilog-2001 Quartus II Verilog HDL Verilog HDL Altera Corporation 7 5 Preliminary

6 Quartus II Volume 1 Quartus II / \ include Quartus II Verilog 2001 Quartus II Verilog-2001 SystemVerilog Quartus II SystemVerilog logic bit byte shortint longint int enum enum struct typedef typedefs forward always_comb always_latch always_ff += -= *= /= %= &= = ^= <<= >>= <<<= >>>= case unique priority / Verilog-2001 System Verilog System Verilog Quartus II 7 6 Altera Corporation Preliminary

7 Verilog HDL Quartus II Verilog HDL `define Quartus II Verilog HDL GUI GUI Verilog GUI Assignments Settings Category Analysis & Synthesis Settings Verilog HDL Input Verilog HDL macro Setting Add Verilog 7 1 Verilog 7 1. Verilog quartus_map <Design name> --verilog_macro= "<Macro Name>=<Macro Setting>" 7 2 Verilog HDL `define a= Verilog a=2 quartus_map my_design --verilog_macro="a=2" Verilog a=2 & a=3 quartus_map my_design --verilog_macro="a=2" --verilog_macro="b=3" VHDL Quartus II VHDL VHDL 1987 IEEE VHDL 1993 IEEE Altera Corporation 7 7 Preliminary

8 Quartus II Volume 1 Quartus II VHDL 1. Assignments Settings 2. Settings Category Analysis & Synthesis Settings VHDL Input OK 3. VHDL Input VHDL version OK 4. VHDL 7 26 Verilog VHDL Quartus II VHDL 1993 VHDL VHDL 1993 VHDL Quartus II IEEE VHDL VHDL VHDL IEEE VHDL std_logic_1164 numeric_std numeric_bit math_real STD VHDL standard textio Quartus II IEEE std_logic_arith std_logic_unsigned Synopsys ARITHMETIC std_logic_arith Mentor Graphics 7 8 Altera Corporation Preliminary

9 ALTERA altera_primitives_components GLOBAL DFFE maxplus2 MAX+PLUS II ALTERA_MF altera_mf_components stratixgx_mf_components LCELL LPM library of parameterized modules LPM lpm_components Quartus II Quartus II 5.1 altera_mf_components altera_primitives_components GLOBAL DFFE Quartus II VHDL Project Add/Remove Files In Project Quartus II 5.1 VHDL Quartus II VHDL VHDL Quartus II VHDL VHDL Settings Quartus II.qsf Tcl VHDL Altera Corporation 7 9 Preliminary

10 Quartus II Volume 1 Quartus II VHDL Setting VHDL 1 1. Assignments Settings 2. Settings Files File Name 3. Properties 4. File Properties Type VHDL File 5. Library 6. OK Quartus II Tcl Quartus II Tcl VHDL_FILE -library VHDL Quartus II Tcl Quartus II my_file.vhd VHDL my_lib 7 4. set_global_assignment VHDL_FILE my_file.vhd library my_lib Tcl 7 72 VHDL VHDL library 7 10 Altera Corporation Preliminary

11 VHDL altera synthesis pragma synopsys exemplar library 7 25 library work Settings Quartus II Tcl library library 7 5 library my_entity my_lib 7 5. library -- synthesis library my_lib library ieee; use ieee.std_logic_1164.all; entity my_entity(...) end entity my_entity; Settings Quartus II Tcl 1 library VHDL 1 1 Quartus II Altera Corporation 7 11 Preliminary

12 Quartus II Volume 1 AHDL Quartus II & AHDL AHDL.tdf AHDL include AHDL.inc Quartus II AHDL Quartus II AHDL Quartus II &.bdf Quartus II MAX+PLUS II.gdf.bsf MAX+PLUS II.sym MAX+PLUS II Quartus II Quartus II BDF BSF Quartus II 7 12 Altera Corporation Preliminary

13 Quartus II Quartus II Quartus II Quartus II Quartus II Volume 1 Quartus II 7-2 Altera Corporation 7 13 Preliminary

14 Quartus II Volume Quartus II Perform Analysis & Elaboration Turn on Integrated Synthesis Create Design Partitions Repeat until Satisfied with Partitions Perform Complete Compilation (All Partitions are Compiled) Make Changes to Design Perform Incremental Compilation (Partitions are Compiled if Required) Repeat as Needed During Design & Debugging Stages 7-3 B F A B C D E F Representation A Representation B Top A 1 C F B B D E 7 14 Altera Corporation Preliminary

15 7-3. Representation A Partition Top A B C D E F Partition B Partition F Representation B A B C D E EF Quartus II 7 16 Quartus II 6.0 Quartus II Altera Corporation 7 15 Preliminary

16 Quartus II Volume 1 1. Precessing Start Start Analysis & Elaboration Quartus II 2. PARTITION_HIERARCHY Project Navigator Compilation Hierarchy Project Navigator Set as Design Partition 3. Assignments Settings Settings 4. Settings Compilation Process Settings Incremental compilation Incremental synthesis only Incremental synthesis only GUI PARTITION_HIERARCHY Project Navigator Set as Design Partition Processing Start Compilation Start Compilation 7 16 Altera Corporation Preliminary

17 Quartus II Quartus II Quartus II Volume 1 Quartus II Quartus II Quartus II Processing Start Tools Compilation Tool Processing Start Start Analysis & Synthesis Quartus II Processing Start Start Partition Merge Altera Corporation 7 17 Preliminary

18 Quartus II Volume 1 Settings Compilation Process Incremental compilation Off Incremental synthesis only 1 Quartus II Quartus II Altera LogicLock SignalTap II Quartus II Volume 1Design Recommendations for Altera Devices 7 18 Altera Corporation Preliminary

19 I/O GND Quartus II MegaWizard Plug-In Manager MegaWizard MegaWizard Quartus II Quartus II Quartus II Altera Corporation 7 19 Preliminary

20 Quartus II Volume 1 DSP RAM Quartus II LE ALM Quartus II DSP RAM 7 42 MegaWizard Plug-In Manager RAM DSP Quartus II Volume 2 Quartus II Volume 1 Quartus II 7 20 Altera Corporation Preliminary

21 Quartus II OpenCore Plus MegaCore OpenCore Plus MegaCore Quartus II Quartus II 7 22 Quartus II 3 Quartus II HDL Verilog VHDL Optimization Technique Speed Optimization Technique for Clock Domains PowerPlay Power Optimization State Machine Processing syn_encoding enum_encoding Preserve Hierarchical Boundary Restructure Multiplexers Power-Up Level Power-Up Don t Care Remove Duplicate Logic Remove Duplicate Registers Remove Redundant Logic Cells Preserve Registers Noprune Synthesis Attribute/Preserve Fanout Free Node Keep Combinational Node/Implement as Output of Logic Cell Maximum Fan-Out RAM Style & ROM Style for Inferred Memory RAM Initialization File for Inferred Memory Multiplier Style for Inferred Multipliers Full Case Parallel Case Translate Off & On Ignore Translate Off Read Comments as HDL Altera Corporation 7 21 Preliminary

22 Quartus II Volume 1 Quartus II Verilog HDL VHDL 7 54 HDL Quartus II Settings Quartus II HDL Settings Analysis & Synthesis Assignments Settings Settings Analysis & Synthesis Settings Quartus II Quartus II Quartus II Tools Assignment Editor Tcl Quartus II HDL Quartus II Quartus II Verilog HDL VHDL Verilog HDL VHDL HDL Quartus II Tcl Quartus II HDL HDL Quartus II 7 22 Altera Corporation Preliminary

23 Quartus II Quartus II Quartus II Tcl HDL Verilog-2001 SystemVerilog VHDL Verilog-1995 HDL <attribute> <attribute type> <value> <object> <object type> Verilog HDL 7 6. Verilog-1995 HDL // synthesis <attribute> [ = <value> ] /* synthesis <attribute> [ = <value> ] */ 7 6 Verilog-1995 Verilog HDL 1 HDL reg r; // synthesis <attribute> //synthesis <attribute1> [ = <value> ] <attribute2> [ = <value> ] maxfan Maximum Fan-Outmy_reg preserve 7 37 Preserve Registers reg my_reg /* synthesis maxfan = 16 preserve */; Altera Corporation 7 23 Preliminary

24 Quartus II Volume 1 synthesis pragma synopsys exemplar altera Quartus II exemplar pragma altera 7 7. Verilog-2001 & System Verilog (* <attribute> [ = <mvalue> ] *) 7 7 Verilog-2001 Verilog HDL Verilog-2001 (* <attribute1> [ = <value1>], <attribute2> [ = <value2> ] *) maxfan Maximum Fan-Outmy_reg preserve 7 37 Preserve Registers (* preserve, maxfan = 16 *) reg my_reg; 7 8. VHDL attribute <attribute> : <attribute type> ; attribute <attribute> of <object> : <object type> is <value>; 7 8 VHDL VHDL Altera altera_syn_attributes VHDL 7 24 Altera Corporation Preliminary

25 Quartus II LIBRARY altera; USE altera.altera_syn_attributes.all; QuartusII Verilog HDL VHDL Verilog HDL VHDL <directive> <value> Verilog HDL 7 9. Verilog HDL // synthesis <directive> [ =<value> ] /* synthesis <directive> [ =<value> ] */ VHDL -- synthesis <directive> [ =<value> ] synthesis Verilog HDL VHDL pragma synopsys exemplar altera Quartus II exemplar pragma altera Quartus II Altera Corporation 7 25 Preliminary

26 Quartus II Volume 1 Verilog VHDL Verilog HDL VHDL Settings Quartus II VERILOG_INPUT_VERSION VHDL_INPUT_VERSION Verilog HDL // synthesis VERILOG_INPUT_VERSION <language version> <language version> VERILOG_1995 VERILOG_2001 SYSTEMVERILOG_ VHDL --synthesis VHDL_INPUT_VERSION <language version> <language version> VHDL87 VHDL93 VERILOG_INPUT_VERSION VHDL_INPUT_VERSION VERILOG_INPUT_VERSION VHDL_INPUT_VERSION Verilog VHDL 7 26 Altera Corporation Preliminary

27 Quartus II Optimization Technique Optimization Technique 7 1 Settings Analysis & Synthesis Settings 7 1. Optimization Technique Area Speed Balanced (1) f MAX f MAX 7 1 (1) / Speed Optimization Technique for Clock Domains Speed Optimization Technique for Clock Domains Analysis & Synthesis Settings Optimization Technique Optimization Technique Altera Corporation 7 27 Preliminary

28 Quartus II Volume 1 Speed Optimization Technique for Clock Domains 1 Stratix II Stratix II GX Stratix Stratix GX Cyclone II Cyclone HardCopy II HardCopy Stratix MAX II PowerPlay Power Optimization Analysis & Synthesis Analysis & Synthesis Assingments Settings Category Analysis & Synthesis Settings Analysis & Synthesis Settings PowerPlay 3 Off Analysis & Synthesis Normal Compilation Analysis & Synthesis Extra Effort Analysis & Synthesis 7 28 Altera Corporation Preliminary

29 Quartus II State Machine Processing 7 2 Settings Analysis & Synthesis Settings 7 2. State Machine Processing Auto Minimal Bits One-Hot One Hot User-Encoded Auto FPGA one hit CPLD minimal bits Quartus II Recommended HDL Coding Styles Verilog HDL State Machine Processing User-Encoded Verilog HDL parameter S0 = 4'b1010, S1 = 4'b0101,... S0 S1... 4'b1010 4'b Altera Corporation 7 29 Preliminary

30 Quartus II Volume 1 VHDL User-Encoded State Machine Processing VHDL syn_encoding 7 30 syn_encoding syn_encoding Quartus II 7 29 State Machine Processing VHDL VHDL User-Encoded State Machine Processing syn_encoding 7 13 syn_encoding count_state 0 = 11 1 = 01 2 = 10 3 = syn_encoding VHDL ARCHITECTURE rtl OF my_fsm IS TYPE count_state is (zero,one,two,three); ATTRIBUTE syn_encoding : STRING; ATTRIBUTE syn_encoding OF count_state : TYPE IS" "; SIGNAL present_state next_state : count_state; BEGIN enum_encoding Quartus II one-hot enum_encoding one-hot 7 30 Altera Corporation Preliminary

31 Quartus II enum_encoding Report State Machine Processing syn_encoding synthesis VHDL enum_encoding enum_encoding defaultsequentialgrayone-hot IEEE std_logic_1164 std_ulogic 7 14 enum_encoding fruit type fruit is (apple, orange, pear, mango); attribute enum_encoding : string; attribute enum_encoding of fruit : type is " "; apple = "11" orange = "01" pear = "10" mango = "00" Quartus II 4 Altera Corporation 7 31 Preliminary

32 Quartus II Volume 1 default 5 sequential 6 50 one-hot gray sequential gray 1 one-hot N N 7 14 enum_encoding fruit gray 7 15 gray gray type fruit is (apple, orange, pear, mango); attribute enum_encoding : string; attribute enum_encoding of fruit : type is "gray"; Preserve Hierarchical Boundary Quartus II 6.0 Preserve Hierarchical Boundary Preserve Hierarchical Boundary Quartus II 7 15 Restructure Multiplexers Quartus II 7 32 Altera Corporation Preliminary

33 Quartus II LE ALM Stratix II Stratix Stratix GX Cyclone II Cyclone MAX II Restructure Multiplexers ifcase?: Verilog HDL VHDL Verilog HDL VHDL STD_LOGIC_VECTOR Restructure Multiplexers Restructure Multiplexers 20% f MAX 7 3 Settings Analysis & Synthesis Settings 7 3. Restructure Multiplexers On Off f MAX f MAX Auto Quartus II Optimization Technique Area Balanced On Optimization Technique Speed Off Optimization Technique Stratix Stratix II Balanced Altera Corporation 7 33 Preliminary

34 Quartus II Volume 1 Compilation Report Analysis & Synthesis Analysis & Synthesis Optimization Results Multiplexer Statistics Multiplexer Restructuring Statistics 7 4 Multiplexer Restructuring Statistics 7 4. Multiplexer Inputs Bus Width Baseline Area Area if Restructured Saving if Restructured Registered Example Multiplexer Output Multiplexer Restructuring Multiplexer Restructuring Multiplexer Restructuring 2 Quartus II Volume 1Design Recommendations for Altera Devices Power-Up Level High (1) Low (0) 0 High NOT-gate push back NOT-gate push back High Low High 7 34 Altera Corporation Preliminary

35 Quartus II VHDL Quartus II VHDL Power-Up Level VHDL Quartus II Verilog HDL NOT gate push-back set reset Quartus II Volume 1Recommended HDL Coding Styles Power-Up Don t Care D VCC High Low High Altera Corporation 7 35 Preliminary

36 Quartus II Volume 1 VCC Power-Up Level High Low Remove Duplicate Logic Remove Duplicate Registers Remove Duplicate Registers 7 5 LCELL Remove Duplicate Logic LCELL Remove Duplicate Registers Remove Duplicate Logic Remove Duplicate Logic 7 36 Altera Corporation Preliminary

37 Quartus II Off Remove Duplicate Logic & Remove Duplicate Registers Remove Duplicate Logic Remove Duplicate Registers Remove Duplicate Registers Remove Redundant Logic Cells LCELL WYSIWYG Preserve Registers SignalTap II I/O 1 I/O 1 2 preserve Altera Corporation 7 37 Preliminary

38 Quartus II Volume Noprune Synthesis Attribute/Preserve Fanout Free Node Preserve Registers Preserve Registers Quartus II GUI HDL preserve my_reg preserve Quartus II syn_preserve Verilog HDL preserve reg my_reg /* synthesis preserve = 1 */; Verilog-2001 syn_preserve (* syn_preserve = 1 *) reg my_reg; preserve = VHDL preserve signal my_reg : stdlogic; attribute preserve : boolean; attribute preserve of my_reg : signal is true; Noprune Synthesis Attribute/Preserve Fanout Free Node Reserve Registers 7 38 Altera Corporation Preliminary

39 Quartus II SignalTap II Preserve Fanout Free Node Quartus II GUI HDL noprune my_reg noprune syn_noprune Verilog HDL noprune reg my_reg /* synthesis noprune = 1 */; Verilog 2001 noprune (* noprune = 1 *) reg my_reg; VHDL noprune signal my_reg : stdlogic; attribute noprune: boolean; attribute noprune of my_reg : signal is true; Keep Combinational Node/Implement as Output of Logic Cell keep Altera Corporation 7 39 Preliminary

40 Quartus II Volume 1 Implement as Output of Logic Cell SignalTrap II <net name>~reg0 Implement as Output of Logic Cell Quartus II GUI HDL keep my_wire. keep Quartus II syn_keep Verilog HDL keep wire my_wire /* synthesis keep = 1 */; Verilog-2001 keep (* keep = 1 *) wire my_wire; VHDL syn_keep signal my_wire: bit; attribute syn_keep: boolean; attribute syn_keep of my_wire: signal is true; Maximum Fan-Out 7 40 Altera Corporation Preliminary

41 Quartus II Fitter MAX 3000 MAX 7000 FLEX 10K ACEX 1K Mercury Quartus II DSP RAM / 3 Quartus II Quartus II maxfan preserve preserve Quartus II Volume 2Netlist Optimization & Physical Synthesis Maximum Fan-Out Quartus II GUI HDL maxfan clk_gen 50 maxfan Quartus II syn_maxfan Altera Corporation 7 41 Preliminary

42 Quartus II Volume Verilog HDL syn_maxfan reg clk_gen /* synthesis syn_maxfan = 50 */; Verilog-2001 maxfan (* maxfan = 50 *) reg clk_gen; VHDL maxfan signal clk_gen : stdlogic; attribute maxfan : signal ; attribute maxfan of clk_gen : signal is 50; Quartus II HDL HDL RAM DSP Quartus II Volume 1Recommended HDL Coding Styles Quartus II - & - Auto DSP Block Replacement DSP 7 42 Altera Corporation Preliminary

43 Quartus II Settings Analysis & Synthesis Settings Assignment Editor altmult_accum altmult_add DSP Auto Shift Register Replacement Settings Analysis & Synthesis Settings Assignment Editor Allow Any Shift Register Size for Recognition altmult_taps RAM Auto Shift Register Replacement EDA Tool Settings MegaWizard Plug-in Manager / RAM & ROM RAM ROM Auto RAM Replacement Auto ROM Replacement Settings Altera Corporation 7 43 Preliminary

44 Quartus II Volume 1 Analysis & Synthesis Settings Assignment Editor RAM ROM Allow Any RAM Size for Recognition Allow Any ROM Size for Recognition Auto ROM Replacement EDA Tool Settings ROM MegaWizard Plug-in Manager ROM / ROM RAM RAM EDA Tool Settings Auto RAM Replacement Quartus II RAM RAM RAM 7 44 Altera Corporation Preliminary

45 Quartus II RAM Style & ROM Style for Inferred Memory RAM ROM TriMatrix LE ALM TriMatrix ramstyle romstyle 1 M512 M4KM-RAM RAM ROM logic RAM ROM VHDL Verilog HDL logic RTL RAM ROM ramstyle romstyle Quartus II syn_ramstyle my_memory_blocks Verilog-1995 romstyle module my_memory_blocks (...) /* synthesis romstyle = "M4K" */ Verilog-2001 ramstyle (* ramstyle = "M512" *) module my_memory_blocks (...); VHDL romstyle architecture rtl of my_ my_memory_blocks is attribute romstyle : string; attribute romstyle of rtl : architecture is "M-RAM"; begin Altera Corporation 7 45 Preliminary

46 Quartus II Volume my_ram my_rom TriMatrix Verilog-1995 syn_ramstyle reg [0:7] my_ram[0:63] /* synthesis syn_ramstyle = "logic" */; Verilog-2001 romstyle (* romstyle = "logic" *) reg [0:7] my_rom[0:63]; VHDL ramstyle type memory_t is array (0 to 63) of std_logic_vector (0 to 7); signal my_ram : memory_t; attribute ramstyle : string; attribute ramstyle of my_ram : signal is "logic"; RAM Initialization File for Inferred Memory ram_init_file.mif RAM Verilog-1995 ram_init_file reg [7:0] mem[0:255] /* synthesis ram_init_file = " my_init_file.mif" */; Verilog-2001 ram_init_file (* ram_init_file = "my_init_file.mif" *) reg [7:0] mem[0:255]; VHDL ram_init_file type mem_t is array(0 to 255) of unsigned(7 downto 0); signal ram : mem_t; attribute ram_init_file : string; attribute ram_init_file of ram : signal is "my_init_file.mif"; 7 46 Altera Corporation Preliminary

47 Quartus II VHDL Quartus II RAM MIF Multiplier Style for Inferred Multipliers multstyle * HDL multstyle dsp Quartus II DSP multstyle logic dsp Verilog HDL * VHDL multstyle Quartus II syn_multstyle Verilog HDL * multstyle my_module Quartus II Verilog 1995 multstyle module my_module (...) /* synthesis multstyle = "dsp" */; Verilog 2001 multstyle (* multstyle = "dsp" *) module my_module(...); Altera Corporation 7 47 Preliminary

48 Quartus II Volume 1 Verilog HDL multstyle result multstyle a * b Quartus II Verilog 2001 multstyle wire [8:0] a, b; (* multstyle = "logic" *) wire [17:0] result; assign result = a * b; //Multiplication must be //directly assigned to result Verilog 1995 multstyle wire [8:0] a, b; wire [17:0] result /* synthesis multstyle = "logic" */; assign result = a * b; //Multiplication must be //directly assigned to result * multstyle 7 41 multstyle a * b Verilog 2001 multstyle wire [8:0] a, b; wire [17:0] result; assign result = a * (* multstyle = "dsp" *) b; Verilog-1995 multstyle VHDL * 7 42 multstyle my_entity rtl Quartus II 7 48 Altera Corporation Preliminary

49 Quartus II VHDL multstyle architecture rtl of my_entity is attribute multstyle : string; attribute multstyle of rtl : architecture is "dsp"; begin VHDL * multstyle 7 43 result multstyle a * b Quartus II VHDL multstyle signal a, b : unsigned(8 downto 0); signal result : unsigned(17 downto 0); attribute multstyle : string; attribute multstyle of result : signal is "logic"; result <= a * b; Full Case Verilog HDL case case case case case full_case don t care VHDL case VHDL case Quartus II Volume 1Design Recommendations for Altera Devices case full_case 7 22 Altera Corporation 7 49 Preliminary

50 Quartus II Volume 1 full_case Verilog HDL Quartus II case case sel 2'b11 HDL Quartus II don t care full_case HDL case full 7 44 case sel full_case sel 2'b11 don t care Verilog HDL full_case module full_case (a, sel, y); input [3:0] a; input [1:0] sel; output y; reg y; (a or sel) case (sel) // synthesis full_case 2'b00: y=a[0]; 2'b01: y=a[1]; 2'b10: y=a[2]; endcase endmodule Verilog case Verilog-2001 full_case (* full_case *) case (sel) 7 50 Altera Corporation Preliminary

51 Quartus II Parallel Case parallel_case Verilog HDL case 1 case Verilog HDL case case case Verilog HDL case case case case Quartus II Case parallel_case Quartus II case case case 1 case VHDL case case Verilog HDL Verilog HDL Quartus II parallel_case Verilog HDL SystemVerilog-2005 SystemVerilog unique parallel_case case casez HDL 3 case sel sel[2] sel[1] sel[1] sel[0] parallel_case sel High a b c High HDL Altera Corporation 7 51 Preliminary

52 Quartus II Volume Verilog HDL parallel_case module parallel_case (sel, a, b, c); input [2:0] sel; output a, b, c; reg a, b, c; (sel) begin {a, b, c} = 3'b0; casez (sel) // synthesis parallel_case 3'b1??: a = 1'b1; 3'b?1?: b = 1'b1; 3'b??1: c = 1'b1; endcase end endmodule Verilog case casez Verilog-2001 (* parallel_case *) casez (sel) Translate Off & On translate_off translate_on Quartus II HDL translate_off translate_on Verilog HDL Translate Off & On // synthesis translate_off parameter tpd = 2; // #tpd; // synthesis translate_on 7 52 Altera Corporation Preliminary

53 Quartus II VHDL Translate Off & On -- synthesis translate_off use std.textio.all; -- synthesis translate_on Quartus II altera // altera translate_off // altera translate_on Quartus II Ignore Translate Off Ignore Translate Off Quartus II translate_off translate_on Quartus II Ignore Translate Off Settings Analysis & Synthesis Settings More Settings Read Comments as HDL read_comments_as_hdl Quartus II HDL Quartus II HDL read_comments_as_hdl on read_comments_as_hdl off translate_off translate_on 1 HDL Altera Corporation 7 53 Preliminary

54 Quartus II Volume 1 read_comments_as_hdl read_comments_as_hdl Quartus II Verilog HDL Verilog HDL Read Comments as HDL // synthesis read_comments_as_hdl on // my_rom lpm_rom (.address (address), //.data (data)); // synthesis read_comments_as_hdl off VHDL Read Comments as HDL -- synthesis read_comments_as_hdl on -- my_rom : entity lpm_rom -- port map ( -- address => address, -- data => data, ); -- synthesis read_comments_as_hdl off HDL Quartus II HDL Quartus II Quartus II chip_pin Use I/O Flip-Flops HDL Altera AttributeHDL Quartus II Quartus II Quartus II Tcl Use I/O Flip-Flops I/O I/O Quartus II useioff clock-to-output clockto-output I/O 7 54 Altera Corporation Preliminary

55 HDL Quartus II Assignment Editor Fast Input Register Fast Output Register Fast Output Enable Register Quartus II useioff Verilog HDL VHDL 1 Verilog HDL TRUE VHDL I/O Quartus II 0 Verilog HDL FALSE VHDL I/O useioff a_reg b_reg o_reg a b o I/O Quartus II Verilog HDL useioff module top_level(clk, a, b, o); input clk; input [1:0] a, b /* synthesis useioff = 1 */; output [2:0] o /* synthesis useioff = 1 */; reg [1:0] a_reg, b_reg; reg [2:0] o_reg; (posedge clk) begin a_reg <= a; b_reg <= b; o_reg <= a_reg + b_reg; end assign o = o_reg; endmodule Verilog Verilog-2001 useioff (* useioff = 1 *) input [1:0] a, b; (* useioff = 1 *) output [2:0] o; Altera Corporation 7 55 Preliminary

56 Quartus II Volume useioff VHDL library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity top_level is port ( clk : in std_logic; a, b : in unsigned(1 downto 0); o : out unsigned(1 downto 0)); attribute useioff : boolean; attribute useioff of a : signal is true; attribute useioff of b : signal is true; attribute useioff of o : signal is true; end top_level; architecture rtl of top_level is signal o_reg, a_reg, b_reg : unsigned(1 downto 0); begin process(clk) begin a_reg <= a; b_reg <= b; o_reg <= a_reg + b_reg; end process; o <= o_reg; end rtl; Altera Attribute HDL Quartus II altera_attribute HDL HDL Fitting Analysis & Synthesis Altera Attribute Quartus II Quartus II Tcl HDL 7 22 Quartus II 7 56 Altera Corporation Preliminary

57 HDL Quartus II -name <variable_1> <value_1>;-name <variable_2> <value_2>[; ] Quartus II Quartus II -from <source> -to <target> -section_id <section> -name <variable> <value> 2 Quartus II "[-from <source_1>] [-to <target_1>] [-section_id <section_1>] -name <variable_1> <value_1>; [-from <source_2>] [-to <target_2>] [-section_id <section_2>] -name <variable_2> <value_2>" Verilog HDL "VARIABLE_NAME \"STRING_VALUE\"" VHDL "VARIABLE_NAME""STRING_VALUE"" Quartus II Quartus II Setting File Quartus II Quartus II Quartus II Settings File Reference Manual altera_attribute 1 Quartus II Verilog-1995 Altera Attribute reg my_reg /* synthesis altera_attribute = "-name POWER_UP_LEVEL HIGH" */; Altera Corporation 7 57 Preliminary

58 Quartus II Volume Verilog-2001 Altera Attribute (* altera_attribute = "-name POWER_UP_LEVEL HIGH" *) reg my_reg; VHDL Altera Attribute signal my_reg : std_logic; attribute altera_attribute : string; attribute altera_attribute of my_reg: signal is "-name POWER_UP_LEVEL HIGH"; altera_attribute Auto Shift Register Replacement VHDL Altera Attribute Verilog-1995 Altera Attribute module my_entity( ) /* synthesis altera_attribute = "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF" */; Verilog-2001 Altera Attribute (* altera_attribute = "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF" *) module my_entity( ) ; VHDL Altera Attribute entity my_entity is -- Declare generics and ports end my_entity; architecture rtl of my_entity is attribute altera_attribute : string; -- Attribute set on architecture, not entity attribute altera_attribute of rtl: architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF"; begin -- The architecture body end rtl; 7 58 Altera Corporation Preliminary

59 HDL Quartus II altera_attribute altera_attribute reg1 reg2 Tcl QSF set_instance_assignment -name CUT ON -from reg1 -to reg Verilog to Altera Attribute reg reg2; reg reg1 /* synthesis altera_attribute = "-name CUT ON -to reg2" */; Verilog to Altera Attribute reg reg2; (* altera_attribute = "-name CUT ON -to reg2" *) reg reg1; VHDL -to Altera Attribute signal reg1, reg2 : std_logic; attribute altera_attribute: string; attribute altera_attribute of reg1 : signal is "-name CUT ON -to reg2"; 1 altera_attribute -to -from altera_attribute -to reg2 * Quartus II reg1 altera_attribute Altera Corporation 7 59 Preliminary

60 Quartus II Volume 1 chip_pin HDL 1 chip_pin chip_pin Quartus II Quartus my_pin1 Pin C1 my_pin2 Pin Verilog-1995 Chip Pin input my_pin1 /* synthesis chip_pin = "C1" */; input my_pin2 /* synthesis altera_chip_pin_lc = "@4" */; Verilog-2001 Chip Pin (* chip_pin = "C1" *) input my_pin1; (* altera_chip_pin_lc = "@4" *) input my_pin2; VHDL Chip Pin entity my_entity is port(my_pin1: in std_logic; my_pin2: in std_logic; ); end my_entity; attribute chip_pin : string; attribute altera_chip_pin_lc : string; attribute chip_pin of my_pin1 : signal is "C1"; attribute altera_chip_pin_lc of my_pin2 : signal is "@4" I/O 7 60 Altera Corporation Preliminary

61 7 67 my_pin[2] Pin_4 my_pin[1] Pin_5 my_pin[0] Pin_ Verilog-1995 Chip Pin input [2:0] my_pin /* synthesis chip_pin = "4, 5, 6" */; 7 68 my_pin[0] Pin_4 my_pin[2] Pin_6 my_pin[1] Verilog-1995 Chip Pin input [0:2] my_pin /* synthesis chip_pin = "4,,6" */; 7 69 my_pin[2] Pin 4 my_pin[0] Pin 6 my_pin[1] VHDL Chip Pin entity my_entity is port(my_pin: in std_logic_vector(2 downto 0); ); end my_entity; attribute chip_pin of my_pin: signal is "4,, 6"; Messages Analysis & Synthesis Project Navigator Messages Analysis & Synthesis Altera Corporation 7 61 Preliminary

62 Quartus II Volume 1 Analysis & Synthesis Processing Analysis & Synthesis Fitter Summary Analysis & Synthesis Analysis & Synthesis Quartus II Project Navigator Project Navigator Hierarchy Analysis & Synthesis Fitter Project Navigator Hierarchy Altera Corporation Preliminary

63 VHDL & Verilog HDL VHDL & Verilog HDL Quartus II Verilog HDL VHDL HDL HDL HDL Info Warning Error 3 Info Warning HDL HDL Error HDL HDL 7 70 i Verilog HDL / j HDL //dup.v module dup(input i, input j, output reg o); (i) o = i & j; endmodule HDL Quartus II Warning: (10276) Verilog HDL sensitivity list warning at dup.v(2): sensitivity list contains multiple entries for "i". Altera Corporation 7 63 Preliminary

64 Quartus II Volume 1 Verilog HDL 7 71 my_reg MY_REG 2 VHDL HDL Info // namecase.v module namecase (input i, output o); reg my_reg; reg MY_REG; assign o = i; endmodule HDL Quartus II Info: (10281) Verilog HDL information at namecase.v(3): variable name "MY_REG" and variable name "my_reg" should not differ only in case. Quartus II my_reg MY_REG HDL Info Info: (10035) Verilog HDL or VHDL information at namecase.v(3): object "my_reg" declared but not used Info: (10035) Verilog HDL or VHDL information at namecase.v(4): object "MY_REG" declared but not used HDL Quartus II HDL HDL HDL Message Level 7 25 Quartus II Quartus II Volume 2 Quartus II Project Management 7 64 Altera Corporation Preliminary

65 VHDL & Verilog HDL HDL Message Level HDL Message Level Quartus II 7 6 HDL 7 6. HDL Info Level1 HDL Level1 Level1 Quartus II Level2 Level3 HDL Level2 HDL Level3 HDL LINT Level1 HDL Level2 HDL Message Level Assignments Settings Category Analysis & Synthesis Settings HDL Message Level message_level synthesis level1 level2 level Verilog HDL message_level // altera message_level level1 /* altera message_level level3 */ VHDL message_level -- altera message_level level2 Altera Corporation 7 65 Preliminary

66 Quartus II Volume 1 message_level message_level VHDL message_level HDL Message Level HDL Message Level message_level Verilog HDL HDL Message Level message_level HDL HDL Message ID Message ID HDL Message Level HDL GUI Settings Analysis & Synthesis Settings HDL Message Level Advanced Advanced Message Settings Message ID HDL HDL message_on message_off Message ID Verilog HDL VHDL VHDL HDL message_on message_off HDL Message Level message_level message_on message_off ID Verilog HDL message_off // altera message_off /* altera message_off */ 7 66 Altera Corporation Preliminary

67 Quartus II ID VHDL message_off -- altera message_off Quartus II Quartus II HDL Verilog HDL VHDL AHDL BDF Quartus II LE ALM LE ALM Quartus II Volume 2Netlist Optimizations & Physical Synthesis Quartus II Fitter Fitter I/O - Quartus II : A my_a_inst A:my_A_inst Altera Corporation 7 67 Preliminary

68 Quartus II Volume 1 <entity 0>:<instance_name 0> <entity 1>: <instance_name 1>... <instance_name n> A DFF atom my_dff A:my_A_inst my_dff Settings Compilation Process Settings Display Entity Name for Node Name <instance_name 0> <instance_name 1>... <instance_name n> DFF D Virilog HDL VHDL reg signal DFF my_dff_out Verilog HDL Verilog HDL wire dff_in, my_dff_out, clk; (posedge clk) my_dff_out <= dff_in; 7 77 my_dff_out DFF VHDL VHDL signal dff_in, my_dff_out, clk; process (clk) begin if (rising_edge(clk)) then my_dff_out <= dff_in; end if; end process; 7 68 Altera Corporation Preliminary

69 Quartus II AHDL DFF BDF DFF my_dff_out Quartus II I/O my_dff_out Quartus II ~reg Verilog HDLq~reg Verilog HDL module my_dff (input clk, input d, output q); (posedge clk) q <= d; endmodule q DSP RAM FPGA DSP Altera Corporation 7 69 Preliminary

70 Quartus II Volume 1 RAM DSP HDL 1 one-hot Verilog HDL VHDL parameter state0 = 1 state1 = 2 state2 = 3 reg [1:0] my_fsm Verilog HDL 3 one-hot my_fsm.state0 my_fsm.state1 my_fsm.state2 AHDL my_fsm 4 my_fsm~12 my_fsm~13 my_fsm~14 my_fsm~15 DSP Quartus II RAM ROM DSP Verilog HDL VHDL Quartus II Recommended HDL Coding Styles DSP RAM DSP LE ALM 7 70 Altera Corporation Preliminary

71 Quartus II RAM & DSP & RAM DSP LE ALM RAM DSP Quartus II Recommended HDL Coding Styles Verilog HDL VHDL AHDL Quartus II 7 79 Verilog HDL Quartus II c d e f Verilog HDL wire c; reg d, e, f; assign c = a b; (a or b) d = a & b; (a or b) begin : my_label e = a ^ b; end (a or b) f = ~(a b); BDF Stratix Cyclone Stratix II Cyclone II Altera Corporation 7 71 Preliminary

72 Quartus II Volume 1 (~ 1 w w w~1 w~2 w rtl~123 Quartus II ~< > Tcl Quartus II Command-Line Tcl API Help Help quartus_sh --qhelp Scripting Reference Manual PDF Tcl Quartus II Volume 1 Tcl Quartus II Quartus II Settings File Reference Manual Quartus IIVolume 1Command- Line Scripting Tcl set_global_assignment -name <QSF Variable Name> <Value> Tcl set_instance_assignment -name <QSF Variable Name> <Value>\ -to <Instance Name> 7 72 Altera Corporation Preliminary

73 Quartus II 7 7 Quartus II Quartus II Tcl Type 7 7. Quartus II ( / ) Quartus II Allow Any RAM Size for Recognition ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION ON, OFF Allow Any ROM Size for Recognition Allow Any Shift Register Size for Recognition Auto DSP Block Replacement Auto RAM Replacement Auto ROM Replacement Auto Shift-Register Replacement ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION ON, OFF ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_ RECOGNITION ON, OFF AUTO_DSP_RECOGNITION ON, OFF AUTO_RAM_RECOGNITION ON, OFF AUTO_ROM_RECOGNITION ON, OFF AUTO_SHIFT_REGISTER_RECOGNITION ON, OFF Fast Input Register FAST_INPUT_REGISTER ON, OFF Fast Output Enable Register Fast Output Register Implement as Output of Logic Cell FAST_OUTPUT_ENABLE_REGISTER ON, OFF FAST_OUTPUT_REGISTER ON, OFF IMPLEMENT_AS_OUTPUT_OF_LOGIC_CELL ON, OFF Maximum Fan-Out MAX_FANOUT <Maximum Fan-Out Value> Altera Corporation 7 73 Preliminary

74 Quartus II Volume Quartus II ( / ) Quartus II Optimization Technique < > _OPTIMIZATION_TECHNIQUE Area, Speed, Balanced PowerPlay Power Optimization OPTIMIZE_POWER_DURING_SYNTHESIS "NORMAL COMPILATION", "EXTRA EFFORT", OFF Power-Up Don t Care ALLOW_POWER_UP_DONT_CARE ON, OFF Power-Up Level POWER_UP_LEVEL HIGH, LOW Preserve Hierarchical Boundary PRESERVE_HIERARCHICAL_BOUNDARY Off, Relaxed, Firm Preserve Registers PRESERVE_REGISTER ON, OFF Remove Duplicate Logic Remove Duplicate Registers Remove Redundant Logic Cells Restructure Multiplexers Speed Optimization Technique for Clock Domains State Machine Processing REMOVE_DUPLICATE_LOGIC ON, OFF REMOVE_DUPLICATE_REGISTERS ON, OFF REMOVE_REDUNDANT_LOGIC_CELLS ON, OFF MUX_RESTRUCTURE On, Off, Auto SYNTH_CRITICAL_CLOCK ON, OFF STATE_MACHINE_PROCESSING AUTO, "MINIMAL BITS", "ONE HOT", "USER-ENCODED" 7 74 Altera Corporation Preliminary

75 Tcl set_location_assignment -to <signal name> <location> For example, set_location_assignment -to data_input Pin_A3 I/O EDGE_BOTTOM EDGE_LEFT EDGE_TOP EDGE_RIGHT I/O IOBANK_1 IOBANK_n n I/O set_instance_assignment -name PARTITION_HIERARCHY \ <file name> -to <destination> -section_id <partition name> <destination> ram:ram_unit altsyncram:altsyncram_component 7 67 Quartus II <partition name> 1024 : _ Altera Corporation 7 75 Preliminary

76 Quartus II Volume 1 <file name> Quartus II Tcl my_file MY_FILE db Tcl set_global_assignment -name INCREMENTAL_COMPILATION \ INCREMENTAL_SYNTHESIS Quartus II Tcl quartus_sh execute_flow compile quartus_sh execute_flow compile quartus_map quartus_fit quartus_map 2 1. quartus_map --incremental compilation=incremental_synthesis 7 76 Altera Corporation Preliminary

77 quartus_map 2. Quartus II quartus_cdb merge Quartus II Verilog HDL VHDL Altera Corporation 7 77 Preliminary

78 Quartus II Volume Altera Corporation Preliminary

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

Quartus IIネットリスト・ビューワによるデザインの解析

Quartus IIネットリスト・ビューワによるデザインの解析 12. Quartus II QII51013-6.0.0 FPGA Quartus II RTL Viewer State Machine Viewer Technology Map Viewer : Quartus II Quartus II 12 46 State Machine Viewer HDL : Quartus II RTL Viewer State Machine Viewer Technology

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

デザイン・スペース・エクスプローラ

デザイン・スペース・エクスプローラ 12. QII52008-6.1.0 Quartus II Quartus II FPGA Tcl/Tk DSEDSE DSE DSE DSE DSE Quartus II Synthesis Fitter 1 DSE Quartus II Fitter Quartus II Altera Corporation 12 1 2006 11 Quartus II Volume 2 DSE DSE 1

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

Quartus IIプロジェクトのマネージング

Quartus IIプロジェクトのマネージング 4. Quartus II QII52012-7.2.0 FPGA 1 2 FPGA FPGA Quartus II Quartus II 1 1 1 1 Quartus II Quartus II Quartus II Quartus II 4 1 Altera Corporation 4 1 Quartus II Volume 2 4 1. Quartus II Quartus II Project

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

推奨されるHDLコーディング構文

推奨されるHDLコーディング構文 6. HDL QII51007-6.0.0 HDL HDL HDL HDL HDL Quartus II Volume 1 Design Recommendations for Altera Devices Quartus II EDA HDL Quartus II Volume 1 Altera Corporation 6 1 Quartus II Volume 1 LPM DSP LVDS PLL

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

LSI LSI

LSI LSI EDA EDA Electric Design Automation LSI LSI FPGA Field Programmable Gate Array 2 1 1 2 3 4 Verilog HDL FPGA 1 2 2 2 5 Verilog HDL EDA 2 10 BCD: Binary Coded Decimal 3 1 BCD 2 2 1 1 LSI 2 Verilog HDL 3 EDA

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法 ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3.

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド

8B10Bエンコーダ/デコーダMegaCoreファンクション・ユーザガイド 8B10B / MegaCore 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore : 7.1 : 2007 5 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen

Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environmen Introduction Purpose This course explains how to use Mapview, a utility program for the Highperformance Embedded Workshop (HEW) development environment for microcontrollers (MCUs) from Renesas Technology

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

TECH_I Vol.25 改訂新版PCIデバイス設計入門

TECH_I Vol.25 改訂新版PCIデバイス設計入門 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity n is port( ); end entity n; architecture RTL of nis begin when : process begin end process :process begin end process

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

Microsoft Word - Meta70_Preferences.doc

Microsoft Word - Meta70_Preferences.doc Image Windows Preferences Edit, Preferences MetaMorph, MetaVue Image Windows Preferences Edit, Preferences Image Windows Preferences 1. Windows Image Placement: Acquire Overlay at Top Left Corner: 1 Acquire

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

ProVisionaire Control V3.0セットアップガイド

ProVisionaire Control V3.0セットアップガイド ProVisionaire Control V3 1 Manual Development Group 2018 Yamaha Corporation JA 2 3 4 5 NOTE 6 7 8 9 q w e r t r t y u y q w u e 10 3. NOTE 1. 2. 11 4. NOTE 5. Tips 12 2. 1. 13 3. 4. Tips 14 5. 1. 2. 3.

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに...3 2. プロジェクトとは...3 3. Quartus II 開発ソフトウェアの起動...4 4. 新規プロジェクトの作成...7 5. 既存プロジェクトの起動と終了...15

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

コンポーネント・インタフェースTclリファレンス

コンポーネント・インタフェースTclリファレンス QII54022-8.0.0 7. Tcl SOPC Builder Tcl _hw.tcl SOPC Builder _hw.tcl 1 SOPC Builder SOPC Builder 4 HDL _hw.tcl SOPC Builder C Tcl _sw.tcl 7 1 Tcl 7 2 7 2 Tcl 7 8 7 13 Tcl Tcl Tcl Basic component information

More information

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp

Architecture Device Speciication Transceiver Coniguration Select Options in the Dynamic Reconiguration Controller (i required) Clocking Imp 2. SIV53002-3.0 Stratix IV GX 2 3 2 7 2 9 2 10 2 11 2 13 2 1 2009 3 Altera Corporation Stratix IV Device Handbook Volume 3 2 2 2 2 1. Architecture Device Speciication Transceiver Coniguration Select Options

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル

HA8000シリーズ ユーザーズガイド ~BIOS編~ HA8000/RS110/TS10 2013年6月~モデル P1E1M01500-3 - - - LSI MegaRAID SAS-MFI BIOS Version x.xx.xx (Build xxxx xx, xxxx) Copyright (c) xxxx LSI Corporation HA -0 (Bus xx Dev

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

Stratix IIデバイス・ハンドブック Volume 1

Stratix IIデバイス・ハンドブック Volume 1 3. & SII51003-4.0 IEEE Std. 1149.1 JTAG Stratix II IEEE Std. 1149.1 JTAG BST JTAG Stratix II Quartus II Jam.jam Jam Byte-Code.jbc JTAG Stratix II JTAG BST IOE I/O JTAG CONFIG_IO I/O Stratix II JTAG Stratix

More information

, FPGA Verilog-HDL

, FPGA Verilog-HDL Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2007 12 19-20 1 1 1.1...................................... 1 1.2,................................. 1 2 2 2.1 FPGA......................... 2 2.2 Verilog-HDL.............................

More information

Version1.5

Version1.5 Version1.5 Version Date Version1.0 Version1.1 Version1.2 Version1.3 Version1.4 Version1.5 Test J/K/SE0_NAK USB-IF Test Procedure FS Upstream Signal Quality Test Receiver Sensitivity Test DG2040 Packet

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

dTVIIman.PDF

dTVIIman.PDF dtv.ii SR diffusion TENSOR Visualizer II, the Second Release Rev.0.90 (2005.08.22) dtv 3 6 ROI ROI 10 11 15 21 23 25 2 dtv dtvdiffusion TENSOR Visualizer MR VOLUME-ONE dtv VOLUME-ONE ROI 1.1 dtv.ii SR

More information

VBI VBI FM FM FM FM FM DARC DARC

VBI VBI FM FM FM FM FM DARC DARC 14 2 7 2.1 2.1.1 2.1.2 2.1.3 2.1.3.1 VBI 2.1.3.2 VBI 2.1.4 2.1.5 2.1.6 10 2.FM 11 2.2.1 FM 11 2.2.2 FM 11 2.2.3FM 13 2.2.4 FM DARC 14 2.2.4.1 DARC 14 2.2.4.2 DARC 14 17 3.1 17 3.1.1 parity 17 3.1.2 18

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

Bluespec SystemVerilogによるIP流通と効果的なRTLのデバッグ

Bluespec SystemVerilogによるIP流通と効果的なRTLのデバッグ Technical Overview Bluespec SystemVerilog による IP 流通と効果的な RTL のデバッグ 他人の書いた RTL を正確に理解し 把握することは簡単なことではありません 他人の書いた RTL に変更を加えてエラーなくインプリメントすることはさらに困難です これを成功させるためには 設計者は RTL コード全体の設計スタイルに慣れ コードの詳細を把握し アーキテクチャとマイクロアーキテクチャを完全に完全に理解する必要があります

More information

1 138

1 138 5 1 2 3 4 5 6 7 8 1 138 BIOS Setup Utility MainAdvancedSecurityPowerExit Setup Warning Item Specific Help Setting items on this menu to incorrect values may cause your system to malfunction. Select 'Yes'

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

Express5800/320Fa-L/320Fa-LR

Express5800/320Fa-L/320Fa-LR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

COINS 5 2.1

COINS 5 2.1 COINS (0501699) 20 21 2 5 1 3 1.1....................................... 3 1.2..................................... 4 1.3....................................... 4 2 COINS 5 2.1 COINS..................................

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 7 8 1 1 2 1 10 1 3 1 11 2 12 2 3 1 13 2 14 2 3 1 15 2 16 2 3 1 17 2 1 2 3 4 5 18 2 6 7 8 3 1 1 2 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3 1 27 2 28

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM R01AN0724JU0170 Rev.1.70 MCU EEPROM RX MCU 1 RX MCU EEPROM VEE VEE API MCU MCU API RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 R01AN0724JU0170 Rev.1.70 Page 1 of 33 1.... 3 1.1... 3 1.2... 3

More information

1

1 Ver.1.04 Reference Document For LCD Module Product No Documenet No 1B3GB02 SPC1B3GB02V104 Version Ver.1.04 REPRO ELECTRONICS CORPORATION Maruwa Building 2F,2-2-19 Sotokanda,Chiyoda-ku,Tokyo 1001-0021 Japan

More information

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10 2.5. Verilog 19 Z= X + Y - Z A+B LD 0 0001 0000 ADD 1 0110 0001 SUB 2 0111 0010 ST 2 1000 0010 (X

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

FPGA と LUPO その1

FPGA と LUPO その1 FPGA Lecture for LUPO and GTO Vol. 1 2010, 31 August (revised 2013, 19 November) H. Baba Contents FPGA の概要 LUPO の基本的な使い方 New Project Read and Write 基本的な Behavioral VHDL simulation Firmware のダウンロード FPGA

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2

HA8000-bdシリーズ RAID設定ガイド HA8000-bd/BD10X2 HB102050A0-4 制限 補足 Esc Enter Esc Enter Esc Enter Main Advanced Server Security Boot Exit A SATA Configuration SATA Controller(s) SATA Mode Selection [Enabled] [RAID] Determines how

More information

DocuWide 2051/2051MF 補足説明書

DocuWide 2051/2051MF 補足説明書 ëêèõ . 2 3 4 5 6 7 8 9 0 2 3 4 [PLOTTER CONFIGURATION] [DocuWide 2050/205 Version 2.2.0] [SERIAL] BAUD_RATE =9600 DATA_BIT =7 STOP_BIT = PARITY =EVEN HANDSHAKE =XON/XOFF EOP_TIMEOUT_VALUE =0 OUTPUT RESPONSE

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

1 124

1 124 7 1 2 3 4 5 6 7 8 9 10 11 12 1 124 Phoenix - AwardBIOS CMOS Setup Utility Integrated Peripherals On-Chip Primary PCI IDE [Enabled] IDE Primary Master PIO [Auto] IDE Primary Slave PIO [Auto] IDE Primary

More information

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR

Express5800/320Fa-L/320Fa-LR/320Fa-M/320Fa-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

SCV in User Forum Japan 2003

SCV in User Forum Japan 2003 Open SystemC Initiative (OSCI) SystemC - The SystemC Verification Standard (SCV) - Stuart Swan & Cadence Design Systems, Inc. Q0 Q1 Q2 Q3 Q4 Q5 2 SystemC Q0 Q1 Q2 Q3 Q4 Q5 3 Verification Working Group

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Complex Lab – Operating Systems - Graphical Console

Complex Lab – Operating Systems - Graphical Console Complex Lab Operating Systems Graphical Console Martin Küttler Last assignment Any questions? Any bug reports, whishes, etc.? 1 / 13 We are here Pong Server Paddle Client 1 Paddle Client 2 Memory Management

More information

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page htt

Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page   htt Specview Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software_hardware/specview http://specview.stsci.edu/javahelp/main.html Specview

More information